Presentation + Paper
20 March 2019 Predicting and optimizing etch recipes for across the wafer uniformity
Author Affiliations +
Abstract
Uniformity of critical dimensions (CDs) across a wafer is an increasing challenge as both CDs and tolerances shrink. Plasma etch uniformity is achieved in part through reactor design and in part through the operating conditions or process recipe of the reactor. The identification of a recipe for a specific etch process is time consuming and expensive, requiring extensive experiments and metrology. Here we present two modules in SandBox StudioTM, SB-Bayesian and SBNeuralNet, to accelerate the prediction and optimization of etch recipes for across the wafer uniformity. A model of etch rates across the wafer is created that accounts for injector locations, gas flow rates and distribution and plasma powers. Synthetic experiments on etching line-space patterns on 300 mm wafers are performed and the CDs and their variations are computed at several hundred site locations. SB-Bayesian requires many fewer experiments to be calibrated and achieve an excellent qualitative match with the experimental data. SB-NeuralNet achieves comparable levels of accuracy to SBBayesian at predicting average CDs and uniformity, but it does not perform as well at predicting trends across the wafer. It is shown that neural nets require a prohibitive amount of experimental data to successfully predict wafer patterns. SBBayesian and SB-NeuralNet were used to create detailed process maps across the parameters space of interest to identify optimal recipes to achieve required CDs and tolerances. Both modules can predict optimal recipe conditions for achieving identified target CD and uniformity metrics. Using these tools, etch recipes for across the wafer uniformity are rapidly optimized at lower cost.
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Meghali Chopra, Roger T. Bonnecaze, Yang Ban, and Sofia Helpert "Predicting and optimizing etch recipes for across the wafer uniformity ", Proc. SPIE 10963, Advanced Etch Technology for Nanopatterning VIII, 1096309 (20 March 2019); https://doi.org/10.1117/12.2515097
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Etching

Neural networks

Calibration

Process modeling

Cadmium sulfide

Critical dimension metrology

Back to Top