PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Phase shifting masks for real circuits have been investigated extensively only for DRAMs. In this paper, we report on the applicability of i-line phase shifting lithography to the production of application specific ICs (ASICs). The performance of several phase shift strategies is compared, using an i-line stepper with a numerical aperture of 0.48. Data preparation and mask technology considerations are taken into account. Emphasis is placed on the two most critical levels: poly gate and contact window. Results on poly topography are shown. For the poly level, the frequency doubling alternating shifter strategy in combination with a positive resist seems to be capable of printing features down to 0.35 micrometers CD, but the development of automatic phase shift level generation software is still in a preliminary phase. Edge contrast enhancement strategies in combination with a negative resist are considerably simpler, in particular the halftone PSM strategy. These strategies are also very useful in combination with a positive resist for the contact level, where a doubling of the process latitudes was obtained.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A phase shifting mask exposure alignment compensation method for edge enhancement (PEACE) is proposed as a newly developed rim phase shifting mask fabrication method. In the PEACE method, a compensation pattern with 180 degree phase shift against rim phase is arranged adjacent to the rim pattern. The compensation pattern can effectively reduce secondary peak intensity by a destructive interference which will bring about a considerable enhancement of the registration latitude at the second layer electron beam (EB) exposure. Two PEACE methods, PEACE-1 and PEACE-2, are introduced subject to different compensation pattern arrangements. In the PEACE-1 method, at the second layer EB exposure, the compensation pattern is formed automatically adjacent to one or two rim sides with a width proportional to registration error. For the PEACE-2 method, the compensation pattern region is originally designed peripherally around the rim pattern so as to give no change of inherent rim area even with a considerable registration error.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The impact of phase-shifting mask topography on wafer exposure was studied via simulations and experimentation using phase-shifting masks fabricated by etching the quartz to define the shifted areas. The influence of the refractive index of the chromium layer was shown to be minimal and for all the simulations, the refractive index was assumed to be 2.5 - 2.0 j at 248 nm. As the chromium profiles marginally influence the linewidth of the resist patterns, the simulated intensity assumes vertical profiles. Moreover, it was shown experimentally and using simulations that the quartz profiles have a large impact on the wafer results. For vertical quartz profiles, the intensity of the light going through the etched portion of the mask is lower than that going through the unetched portion of the mask and varies with feature size. The consequences are that 0 degree(s) and 180 degree(s) phases cannot be interchanged blindly during the layout of a phase-shifting mask and that the pinhole phase-defect repair technique consisting in etching 360 degree(s) phase slots in the quartz will not yield the same intensity profile as a defect- free region. These problems can be addressed either by optimizing the quartz profiles or by biasing the size of the features depending on the type of pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a method for patterning sub-micrometer gates with T-shaped cross sections, which may be applied to manufacture high performance field effect transistors (FETs). The technique employs two exposures at the KrF excimer laser wavelength (248 nm). The first exposure uses a phase-shifting mask to pattern 0.1 micrometers isolated spaces. The resist used for the second exposure absorbs the 248 nm radiation strongly enough to produce a profile suitable for lift-off patterning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase Shift Mask (PSM) approaches may be classified as either strong or weak. This paper addresses weak PSM approaches which are attractive because of their universal applicability to any pattern. A simple design algorithm for rim PSM, called Biased Rim Design (BiRD), is described. When used with normal stepper illumination ((sigma) equals .5), the modest benefits of rim PSM are of questionable value in many cases. However, theoretical considerations show a synergy of weak PSM combined with off-axis illumination. One specific combination termed BiRD/QUEST, is explored through a series of simulations. These results suggest that a properly biased weak PSM with appropriate illumination allows robust manufacturing of 0.5 (lambda) /NA lithographic patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A wide variety of lithography enhancement techniques have been introduced in recent years. Each method has certain virtues, such as improving the resolution of tightly packed features or increasing the depth of focus for isolated ones. Normally, these schemes are analyzed for areas in which they work best. However, it is desirable to have a phase-shift method and illumination system which improves the depth of focus for a large variety of patterns. To satisfy both requirements, promising techniques must be biased to obtain the best process improvement. These issues are particularly relevant for masks with random logic. To address the two problems, we have developed an E-D tree based computer aided design system which analyzes phase-shift masks and illumination methods for one-dimensional features and calculates the proper bias for them. Our simulations concentrate on analyzing constant width lines and spaces of varying duty cycle. The results from analyzing the features illustrate that both phase-shifting masks and off-axis illumination have regions of reduced performance, or dead zones, in which the depth of focus is degraded. Examples of dead zones are evident with many types of phase-shift masks, such as attenuating, alternate aperture, and rim, and with illumination systems, such as annular illumination. Combinations of enhancement techniques, however, can reduce the effect.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated the application of phase-shifting mask (PSM) or DRAM cell capacitor fabrication. Narrow spaces formed by PSM enables us to attain a high DRAM cell capacitance. Four types of phase-shifting masks are tested: a rim-type mask, transparent type mask (I) (or `shifter-shutter' type), Levenson type mask and transparent type mask (II) (or `shifter-edge' type). The improvement in resolution and depth-of-focus (DOF) by the former two types of PSMs is small, although we can continue to use conventional positive resist with these PSMs. The latter two PSMs provide a significant increase in resolution and DOF. Since the transparent type (II) mask has the difficulty in mask fabrication, we select a Levenson type PSM for the fabrication of the DRAM cell capacitor. Though 0.28 micrometers spaces with the DOF of 1.5 micrometers can be formed on a bare silicon substrate by the Levenson type PSM, the printed patterns on an actual device substrate are deformed by the reflection from the substrate. Dyed negative resist is used to reduce the effects of the reflection, the patterns of 0.28 micrometers spaces with 0.6 micrometers DOF on the actual substrate can be successfully printed. We confirm the effectiveness of the Levenson type phase-shifting mask combined with a dyed negative resist for the DRAM cell capacitor fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The working principles of off-axis illumination to improve the resolution and depth of focus of optical projection imaging and some foreseeable problems are explained in pictorial and analytical forms in terms of coherent illumination systems. Partial coherent illuminations are used to compare the imaging performance of off-axis illumination systems in the form of quadrapole and ring with the alternating phase-shifting mask technique. Other aspects between these two enhancement techniques are also compared. Some unexpected conclusions have been drawn.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Using computer simulations of the lithographic process, the effects of illuminator modifications are studied. Both symmetric (e.g., annular) and asymmetric (e.g., quadrupole) illumination are discussed and annular illumination is examined in detail. The most significant trade-off in the use of these illuminators is that by optimizing the illumination for one feature size and type, other features may be degraded. Mask linearity also worsens and ceases to be a reliable metric for lithographic quality. The benefit is improved depth-of-focus at the feature size for which the illumination was optimized. These trade-offs are studied in detail and general recommendations for a design approach for the use of different illumination schemes are made.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Trends in optical lithography lead to 0.35 micrometers resolution as being the next critical linewidth for semiconductor production. The 64 Mb DRAM technologies will require this. Current i-line lithography techniques lack sufficient production tolerance for 0.35 micrometers . To achieve greater depth of focus and exposure latitude, a number of new techniques are being explored. These include phase shifting masks, multiple focal plane exposures, surface imaging, DUV lithography as well as off-axis illumination. This paper examines the contribution of off-axis illumination towards the improvement of process latitude. Experimental data using 0.54 and 0.48 NA lenses are presented showing the relative advantages and disadvantages of this technique. This data is evaluated for its potential production use for 0.35 micrometers lithography. The effect of off-axis illumination is evaluated for isolated lines, dense lines, sagittal/tangential lines, and contact features. To examine thin film effects, a number of commercially available photoresist processes are used for these tests. In addition, novel solutions to limitations encountered with off-axis illumination are modeled and experimentally verified.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Annular illumination has been studied as a method for improving depth of focus (DOF) in microlithographic systems. A 2X increase in DOF for 0.25 micrometers dense line/space features has been demonstrated using a deep-UV exposure tool with annular illumination. The same increase in DOF for 0.35 micrometers dense line/space patterns has been demonstrated using an i- line exposure tool employing annular illumination. No improvement in isolated features has been found. Annular illumination exhibits no degradation in isolated feature DOF, but the critical dimension (CD) split between dense and isolated features is affected when using annular illumination. Prototype i-line and deep-UV annular illumination systems have been built and tested which minimize the reduction in intensity and loss of uniformity control when using annular illumination. We have employed the use of conical optics as a high efficiency method of producing ring-shaped illumination in an i-line illumination system. The deep-UV prototype system uses a pre-uniformizer device to convert the collimated excimer laser light into a flat-top pupil fill which is then centrally obscured to produce annular illumination.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated the use of annular illumination on a KrF excimer laser stepper ((lambda) equals 248 nm) working near the resolution limit of the lens. The numerical aperture of the lens was 0.48 and the illuminator-lens combination produced a partial coherence of 0.44. With a central obscuration equal to 75% of the diameter of the illuminator aperture in place and using a surface-imaging resist process, we have increased the depth of focus for 0.25 micrometers dense lines and spaces from 0.9 micrometers at one point in the imaging field to 1.5 micrometers . Performance for dense contacts was also improved. These improvements demonstrate the feasibility of 0.25 micrometers technology with deep-UV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, detailed simulation and some experimental studies on stepper lens aberration effect in the case of oblique illumination source are presented. The results are compared to that of conventional illumination source. Due to the unique feature of oblique illumination source imaging, i.e., imaging by using only zero and first diffraction order light, both stepper resolution limit and depth of focus (DOF) are extended. As a result, the effect of lens aberration in resist printing are also different from that of conventional illumination source. Unlike the conventional illumination source, the net effect of stepper lens aberration in resist printing depends not only on both the amount and type of the lens aberration, but also on the mask feature pattern. In the case of lens distortion, unlike the other types of lens aberration, the oblique illumination source does not show any improvement as compared to that of conventional illumination source. It does not show pattern dependent distortion either. In the experiment, an effect of a stepper lens aberration in resist printing for both conventional illumination and quadrapole illumination sources (mostly astigmatism) were measured. The results were in agreement with our simulation results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase-Shift, Oblique-Illumination, and Pupil Filter Methods
N-channel field effect transistors (FETs) with poly linewidths as small as 170 nm have been fabricated with phase shift mask (PSM) lithography using a 0.45 NA I-line stepper. Narrow poly lines were defined using the edge of a (pi) shifter rectangle with superimposed chrome lines of variable width to produce a range of linewidths. Using a chrome-less phase edge, resist linewidths of 240 nm were obtained at nominal exposure, while + 25% overexposure yielded linewidths of 190 nm. Excellent linewidth control was obtained on the device wafers with 3 (sigma) variation of roughly 20 nm for the resist lines. The use of a top anti-reflector (TAR) process improved linewidth control by approximately 35% relative to a normal single layer resist process. Linewidths were also measured after poly etch and, in the final devices, Leff was measured by electrical testing. Devices fabricated using PSM showed significant improvement in lithographic process latitude over control devices fabricated using conventional chrome masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new technique has been developed for the illumination of a mask in a wafer stepper. A phase-shifting layer (a so-called kinoform) is introduced in the optical path of the projection system between the last lens of the illumination system and the mask absorber. This phase- layer interacts with the incident light in such a way, that the features on the mask get a specific optimized illumination. Therefore the phase distribution has to be generated under consideration of the feature size and distribution on the mask. In that sense a mask feature customized illumination is obtained. In a first application phase, the phase layer is created on the glass side of the mask. Linear as well as chessboard phase gratings are applied. With a chessboard phase grating, a quadrupole-like illumination is generated, which can be optimized to the mask feature distribution by choosing the correct grating frequency, phase-shift and tilt.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new mask technique for resolution improvement and depth of focus enhancement is suggested and demonstrated with the simulation and experimental results. The structure of our suggestion has a dummy diffraction layer with simple grating patterns in addition to the main mask for patterning. The lithographic performance of the main mask pattern is largely enhanced and the fabrication of the mask has no critical problems. Through the detailed theoretical expansion of the optics for the new structure, simulation of the aerial image is carried out. And the experiments verify the results of simulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A modified illumination method recently developed is known to improve resolution and depth of focus (DOF) dramatically. But, it requires substantial modification in the optical projection system and has some problems such as low throughput caused by low intensity and poor uniformity. To solve these problems, we developed a new illumination technique, named advanced tilted illumination on mask (ATOM) using phase grating which is the same, in principle, as quadrupole illumination but a very simple approach with little loss of intensity. In our experiments, we obtained the best resolution of 0.28 micrometers and 2.0 micrometers DOF for 0.36 micrometers feature size with an i-line stepper, which is two times as wide as that of a conventional illumination method. We also obtained 0.22 micrometers resolution and 2.0 micrometers DOF for 0.28 micrometers with an 0.45 NA KrF excimer laser stepper. For complex device patterns, a more than 1.5 times wider DOF could be obtained compared to the conventional illumination method. From these results, we conclude that second generation of 64 M DRAM with 0.30 micrometers design rule could be printed well with this technology combined with high NA (> 0.5) i-line steppers. With a KrF excimer laser stepper, a 256 M DRAM with a 0.25 micrometers design rule can be printed with the wide DOF.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have studied a new model excimer laser illumination system used in sub-half-micron lithography to improve optical lithography resolution. This system consists of a KrF excimer laser, laser beam expander, axicon-lens, ring-array-lens, aperture stop and field lenses. In the system, an expanded excimer laser beam is focused on a ring which is in the focus of the axicon-lens. The ring-array-lens situated at the ring beam is used as a laser beam uniformizer. And finally, the ring beam is imaged on the aperture stop of the projective lens by field lenses. This is a special off-axis illumination system. The image distribution of mask pattern on the wafer become sharper than that of general illumination systems. Eighty percent of excimer laser energy can be used in an exposure wafer without energy loss in the projective lens. On the mask, the uniformity of illumination obtained is less than 2 percent and the system can be used in 150 nm - 300 nm deep UV region optical lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The stable performance of the line-narrowed Krypton Fluoride Excimer Laser for production steppers, the KLES-G6, in real stepper mode operation is presented. Wavelength stability of < +/- 0.1 pm and pulse-to-pulse energy stability of < 2% ((sigma) ) were achieved in the real stepper mode operation. Further the durability test was made at 6 W(10 mJ, 600 Hz) in 1 sec. ON - 1 sec. OFF (50%) burst mode and in cw mode. The central wavelength stability < +/- 0.2 pm including the drift at the head of burst, spectral bandwidth < 1.5 pm, and pulse-to-pulse energy stability < 2.5% ((sigma) ) over 1.6 X 109 shots were achieved. The KLES-G6 will assist us to utilize the excimer stepper in real commercial production successfully.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the near certainty that the excimer stepper will become one of the lithography tools for printing sub -0.4 micrometers design rule features, it has now become imperative to better understand the performance characteristics of the excimer laser in the context of the total lithography process. It is no longer possible to treat the laser in isolation from the stepper or the resist. The cost of operation for the laser is integrally tied with the stepper specifications, design rule requirements, and resist characteristics. This paper discusses the dependence of laser parameters on stepper performance, and the relationship between various laser operating parameters and specification. In addition, it analyzes the combination of the laser to the lithography process cost per wafer level in terms of design rule requirements and resist characteristics. 15
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new complete anti-reflective layer (ARL) for KrF excimer laser lithography, which becomes an excimer laser lithography to a practical mass production tool beyond 0.35 micrometers rule devices, is developed. This new ARL, whose material is a type of hydro silicon oxynitride film (SiOxNy:H), can be applied to tungsten silicide (W-Si) and even to aluminum silicon (Al- Si) substrates by controlling deposition conditions in plasma enhanced chemical vapor deposition systems. Using this SiOxNy:H film with 30 nm and 25 nm thicknesses on W-Si and Al-Si substrates respectively, critical dimension variations for both substrates are drastically reduced to within 0.02 micrometers for 0.30 micrometers imaging. On actual device structures, with these SiOxNy:H film as an ARL, notching effects by halation are completely reduced. Moreover, these SiOxNy:H film can not only be deposited with topographical uniformity but also etched with conventional SiO2 etching conditions. Another advantage with ARL is a depth of focus enhancement effect. With a SiOxNy:H film depth of focus for the critical dimension is enlarged more than 23% for 0.35 micrometers line and space patterns. Accordingly, practical resolution is enhanced. From the above effect, the limitations of KrF excimer laser lithography for ideal substrate conditions are considered from the point of view of optimal projection lens NA for various feature sizes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Increasing swing and notching effects due to the higher reflectivity of silicon with decreasing exposure wavelength are a major problem in deep UV lithography. Top and/or bottom anti reflective layers (ARL) are necessary for sub-half micron lithography. In this article a simple method describes how optimum values of the refractive index and the thickness of bottom ARLs can be determined. Two classes of bottom ARLs exist: (1) materials with low intrinsic reflectivity in resist; and (2) Bottom ARLs based on the combined effect of absorbance and interference, so that ARL thickness control over topography is critical. The advantages and disadvantages of both ARL types are given. On poly-Si the optimum ARL is a combination of the two types. A quantitative comparison of the usefulness of various materials as ARL on (poly)silicon, oxide and aluminum is made. Materials considered are: spin-on organic ARC, TiW, TiN, and plasma-enhanced CVD deposited amorphous layers such as hydrogenated silicon (a-Si:H), carbon (a-C:H), silicon carbide a-SiCx, oxynitride a-SiNxOy, and nitride a-Si3+xN4.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is becoming increasingly clear that DUV excimer laser based imaging will be one of the technologies for printing sub-half micron devices. This paper reports the investigation of 0.35 micrometers photolithography process using chemically amplified DUV resists on organic anti- reflective coating (ARC). Production data from the GCA XLS excimer DUV tools with nominal gate width of 0.35 micrometers lines, 0.45 micrometers spaces was studied to demonstrate device production worthiness. This data included electrical yield information for device characterization. Exposure overlay was done by mixing and matching DUV and I-line GCA steppers for critical and non critical levels respectively. Working isolated transistors down to 0.2 micrometers have been demonstrated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
I-line lithography, together with single-layer resist processes, practically, have been limited to 0.45 micrometers design rules in the semiconductor industry. For design rules of 0.4 micrometers and below, several contrast enhanced methods have been proposed for i-line lithography, mainly phase shift masks, modified illumination methods, and surface imaging techniques, etc. This paper describes the sub-half micron process performance of 0.48 NA and 0.54 i-line steppers on various topography wafers which are suitable for 0.35 - 0.40 micrometers and 0.40 - 0.45 micrometers design rules. The latest high performance i-line resist and high contrast developing scheme have been chosen for this study. The process windows for the sub-half micron features on various topography wafers are reported. The feasibility to use these processes for the production with lower K1 is also addressed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
NA and (sigma) will be optimized to establish 0.35 micrometers i-line single layer resist process without use of super resolution techniques. Resolution, depth of focus (DOF), and proximity effect are evaluated using a variable NA and (sigma) stepper. NA is varied by an aperture stop in a projection lens. (sigma) is varied by not only an aperture stop (mechanical (sigma) ) in an illumination optics but also intensity distribution of illumination at the aperture stop (effective (sigma) ). Optimized NA and (sigma) are applied to a newly developed high resolution resist. Obtained results show that high NA and high (sigma) stepper has a great availability for 0.35 micrometers device fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effects of numerical aperture (NA) and partial coherence ((sigma) ) on lithographic performance have been investigated. A deep-UV exposure system with a variable objective NA from 0.53 to 0.35 was used to obtain experimental results. The illuminator system has a variable NA from 0.39 to 0.16. The variable illuminator allows a partial coherence of 0.74 to 0.31 for the 0.53 objective NA and a partial coherence greater than one for the 0.35 objective NA. Experimental measurements have been performed using both negative XP89-131 photoresist and positive APEX-E. These results show that the realizable depth of focus (DOF) for a given NA does not always follow the Rayleigh equation or simple contrast threshold simulation models. Maximum DOF for 0.35 dense line/space features imaged in APEX-E was obtained with an NA of 0.40 while maximum DOF for 0.25 micrometers features was obtained with the full 0.53 NA. Both results differ from simulation and Rayleigh's equation. Partial coherence has also been found to affect DOF. Higher partial coherence values lead to higher DOF in both positive and negative photoresist. A second effect of variable partial coherence is the effect on the critical dimension (CD) split between dense and isolated lines. For example, 0.35 micrometers features imaged in APEX-E with 0.53 NA exhibit a 5 nm dense/iso CD split with (sigma) equals 0.74, but the dense/iso split with (sigma) equals 0.44 is 70 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Isolated to grouped linewidth bias is an important factor in determining the capability of an exposure tool. The process latitude can be significantly improved by minimizing the bias for small geometries (0.5 micron and less). The data presented here optimizes process related performances of SVGL Micrascan I (0.5 micron) and Micrascan II (0.35 micron). The work takes into account different contributions to the overall linewidth bias using modeling of aerial images and resist profiles. Experimental results are presented for positive and negative resists on Micrascan I, and positive resist on Micrascan II. The bias for aerial image is predicted by a model. The post-develop bias depends on the process conditions and the resist system used. Optimized processes are used on Micrascan I and II, and data on different substrates are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advances in lithography simulation have made three dimensional analysis practical. This has resulted in a need for test structures. Five test structures of increasing topological complexity are proposed and are specified mathematically. The first two have been examined with the SAMPLE-3-D simulator. The first example is a two dimensional Gaussian etch rate extended into the third dimension. The second example is the analysis of side lobe formation in phase shifted masks. Because it is necessary to minimize the effect of the side lobes on resist development, adjusting for the proper resist parameters to accomplish this is important. Third is the formation of standing waves. The proper numerical analysis of the evolution of this structure is difficult for surface based simulators, and therefore important for testing. Fourth, holes in the resist via reflective notching is an effect that is of great importance to technologists and is a difficult problem for simulators due to the formation of holes and tunnels. Fifth is the development of a phase shifted contact cut with significant sidelobes and standing waves. This combines the simulation difficulties encountered in examples two and three, and creates new horn shaped structures to form a particularly difficult geometry problem. The mathematical models for each structure are also listed, and a discussion of the SAMPLE-3-D simulator is included.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A vector diffraction analysis is applied to methods of image manipulation like phase masks or off-axis illumination. When such techniques eliminate the dc order altogether, as with alternating phase masks, it becomes possible to print a given intensity pitch with only half as large an NA as with a chrome mask. Some non-scalar imaging effects are therefore reduced. For example, in present lithographic practice the depth of focus with standard masks is often little larger than the thickness of the resist film, so it is no longer accurate to neglect defocus arising during multiple reflections within the resist. The angle dependence of the film stack then becomes significant, and small film perturbations give rise to swing-curve oscillations in pupil apodization and image shape as well as exposure dose. Specific film thicknesses can, for example, have a similar effect to stopping down the lens. Other thicknesses can enhance resolution of certain patterns. We treat these film effects by representing each layer in the process stack with pupil transfer functions. Like defocus, these pupil functions posses a symmetry that eliminates relative dephasing or apodization in the two-beam fringe pattern produced by an alternating phase mask. An alternating phase mask can produce stronger vector diffraction anomalies than a standard mask, despite being able to print a given pitch with half as large a lens NA.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new 3-dimensional (3-D) resist profile simulator has been developed. The energy distribution in the photoresist is calculated by Yeung's vector model in order to take into account the effects caused by oblique propagation of the light, which cannot be neglected because of high numerical aperture (NA) of the projection lens. A new algorithm for the development process is proposed to overcome the inconveniences of conventional models. A new differential equation which we call `Profile Equation' is derived and solved numerically to give the final resist profile. This model has been found to give practically the same results as those obtained by using string model in the 2-D case and can easily be applied to the 3-D case.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A 2-dimensional scalar aerial image model was used to computationally study i-line imaging with oblique illumination. Limited comparisons between developed photoresist images and aerial images were made. The effects on CD control, exposure latitude, and bias of varying annular and quadrupole geometry were mapped via simulation. Significant improvements in DOF of isolated lines was achieved with oblique illumination. Isolated line to dense line bias could be adjusted by changing the illumination type. Although oblique illumination improved the aerial image contrast at defocus, it caused degradation in the aerial image contrast at best focus. Long and short range proximity effects degraded the simulated CD control of optimized oblique illumination systems. This was observed in simulations of an SRAM gate cell. The imaging performance at .9 micrometers defocus, of an i-line system (NA .48) with oblique illumination, was judged to be worse than a KrF system (NA .42) with standard illumination. Quadrupole illumination was not found to measurably affect lens distortion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The creation of stable, defect free, and correctly biased phase shifting masks (PSMs) is a difficult task. The behavior of PSMs, with their complicated structures and topographies, is highly sensitive to process parameters. A method of equalizing the intensity transmission by applying a wet etchant to the reticle and etching back both shifted and unshifted openings has been proposed. The etchback is used to reduce or alter the sidewall light scattering effects, leading to intensity equalization. The proposed method has been shown to cause intensity equalization for i-line and DUV illuminated alternating PSMs. However, many questions remain about the effective use of this method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we extend the Hopkins formulation to take into account high numerical aperture and thin-film interference effects by introducing a new TCC function for each depth inside the photoresist, which completely characterizes the lens/thin-film system with respect to partial coherence, aberrations, defocus and interference effects at the given depth within the photoresist. The basis of the new formulation lies in the fact that, in the presence of the thin- film stack, each point on the exit pupil of the optical system maps linearly not into a single plane wave, but into a family of multiply reflected and generally obliquely propagating plane waves, when bleaching induced scattering effects are neglected. The response within the photoresist due to each incident plane wave is calculated by the method of thin-film optics. The results are then used in the calculation of a new, matrix pupil function of the lens/thin- film system for each depth within the photoresist. Obliquity factors appropriate to high-NA systems are included in the new pupil function. For the Koehler illumination commonly used in reduction projection systems, it is shown that the total irradiance at each depth within the photoresist is expressible in terms of a matrix TCC in the limit when the rays incident on the mask are all nearly vertical, as is the case in a 5X reduction system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A model was developed to simulate the behavior of near-surface-imaged resist processes, with the emphasis on modeling of resist processes for 193 nm. Silylation, bilayer, and additive resist processes can all be simulated using this model. For the silylation process, the model was found to be in excellent agreement with experimentally observed silylated resist profiles. This model was used in combination with existing programs that calculate aerial images and single-layer resist profiles to predict process margins for 193 nm (0.5 NA) lithography. The results of our simulations for 0.25 micrometers features indicate a depth of focus comparable to the Rayleigh limit (+/- 0.4 micrometers ) for a single-layer resist process and up to two times this value for near-surface-imaged resists. Focus latitudes greater than the Rayleigh limit are predicted for 0.18 micrometers features when using near-surface-imaged resists in conjunction with annular illumination.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose a new approach to systematic phase shift mask design. In doing so, we constrain the complexity of the mask at a pre-specified level by limiting the number of `features' on the mask. We then optimize the location, size, and phase of the features so as to achieve a desired intensity pattern on the wafer. The main advantage of this object-oriented approach over our previous pixel-based solution is that it results in substantially larger assisting phase shift features, and is therefore easier to fabricate. Our approach can also be used to design masks with proper bias and/or extension of the depth of focus. We show examples of contact hole, bright line, and chromeless line-space mask designs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Industrial demands for integrated circuits of higher speed and complexity have required the development of advanced lithographic exposure tools capable of sub-half micron resolution over increasingly larger fields. To this end, i-line and deep-UV tools employing variable, high numerical aperture (NA) objectives are being aggressively developed. The design and manufacture of these advanced optical systems has also grown in complexity, since tighter tolerances on resolution and image placement must be maintained over the larger lens field. At the same time, usable focus and exposure latitude must be retained. The influence of lens aberrations on image formation under different illumination conditions, along with their non- intuitive nature has required the development of simulation tools that allow both the designer and the user of these systems to better understand their implications. These tools can be used to investigate and optimize the lithography process, including the effects of emerging technologies such as phase-shift masking, oblique illumination, and frequency plane filtering. This paper presents a method for determining the effects and interactions of various aberrations and illumination conditions using a statistically designed experiment. Fundamental differences in the way the aerial image is formed when varying the pupil energy distribution in the presence of aberrations are presented, as are examples of some of the more interesting effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper analyzes theoretically the potential for a novel approach to lithographic imaging: phase contrast lithography. In this approach, a unique chromeless phase shifting mask is combined with a specific phase filter at the pupil plane to produce high contrast images projected onto the wafer. Like the phase contrast microscope, the pupil filter is designed to phase-shift the zero order diffracted light by some angle. The design of the chromeless mask is purposely kept simple using essentially the same design information as for a conventional chrome/glass mask. Initial analysis of the phase contrast lithography technique reveals some problems, especially proximity effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase contrast lithography using an annular-shaped phase only filter on the pupil plane of the projection optics was found to enlarge depth of focus for lines and spaces, isolated lines, spaces and hole patterns. The lines and spaces and isolated lines prefer an annular illumination. On the contrary, higher coherent illumination was effective for isolated spaces and hole patterns using the same phase modulation. It is not necessary to change the phase filter for each lithographic level. Phase contrast lithography gives us larger depth of focus in combination with halftone phase shifting mask. The phase filter does not have the problem of heating, and has high efficiency of exposure light. Several simulation results are presented, and the possibility of the phase contrast technology becoming a candidate for quarter micron lithography is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There are four major imaging issues when using a flat panel display (FPD) stepper: stitching, overlay, CD control, and the variation in CDs across stitching boundaries (CD stitching or CD continuity). Simulations have been performed in an effort to analyze the performance of FPD steppers, and a comparison is made between the performance criteria of FPD steppers and IC steppers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Wafer scale integration (WSI) lithography is the technique used to fabricate ultra large scale integration (ULSI) integrated circuits significantly greater in size than current products. Applications for WSI lithography include large solid state detector arrays, large area liquid crystal displays, high speed mainframe supercomputers, and large random access memories. The lithography technology required to manufacture these devices is particularly challenging, requiring stringent control of both submicron critical dimensions and accurate alignment of level to level device patterns over large chip areas.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Moire technique with different variations has been successfully used for mask alignment, with very high accuracies. In this paper we report a new approach to computer controlled mask alignment using modified moire technique. In this technique alignment is controlled in the higher slope region of the moire signal using a single pair of grating alignment marks. In the present case a phase shifted signal is generated by the computer using the input moire signal. The point at which this phase shifted signal becomes equal to the moire signal is treated as the alignment point. The error signal for controlling alignment is obtained by computing the difference of instantaneous moire signal from the intensity of this point. Computer simulation studies as well as experimental studies were conducted on this approach. The results of these studies are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We developed subhalf micron steppers, the FPA-2500i2 and the FPA-2500i3 (abbreviated here as i2 and i3, respectively), suitable to 16 M and 64 M DRAM processes. These new steppers incorporate high sensitivity reticle particle monitors (RPM) in order to increase chip yield. In this report, first the steppers' basic performance is demonstrated. Secondly, the printability of particles and its influence on circuit patterns are quantified by simulations and experiments. Thirdly, the RPM's detection principle is discussed theoretically, and finally the experimental results are shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Device manufacturers and lithographic equipment makers are presently preparing for circuit production at design rules of 0.35 micrometers . It is evident that optical steppers will be the production tools, but the choice between i-line and deep UV (DUV) steppers (248 nm) is still a matter of debate. This paper reports the progress made in the development of DUV steppers for production purposes. As a successor to the earlier DUV machine, discussed at the SPIE conference in 1990, which uses a TTL alignment system and automatic excimer laser wavelength control, a new DUV stepper has recently been developed with a new lens at 248 nm wavelength, a 29.7 mm diameter field and a numerical aperture (NA) of 0.5. The stepper body is similar to that of the wide field, i-line systems which have been in production since 1991. The key design parameters and results are reported, including imaging performance down to 0.25 micrometers in both negative and positive resists and a high overlay accuracy based on the TTL alignment system. The capability of matching with i-line systems also is reported.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Micrascan II is a 0.50 NA DUV, broadband illumination (245 nm to 252 nm) step-and- scan exposure system manufactured by Silicon Valley Group Lithography Systems, Inc. (SVGL) of Wilton, Connecticut. The tool has been designed to provide 350 nm resolution and 90 nm overlay (mean + 3 sigma) in a semiconductor manufacturing environment. The system utilizes a reticle-limited field size of 22.0 X 32.5 mm. Lithographic and system performance testing of pre-production and production versions of the Micrascan II have been performed. Data from the source acceptance testing of the preproduction tool, as well as product level overlay results, are presented. The production tool acceptance test data are also presented along with results of the first SEMATECH marathon cluster test simulating a manufacturing environment. The production tool is integrated with an SVG 90 series track providing all pre-exposure and post-exposure wafer processing. All work reported has been accomplished using 200 mm wafer substrates.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To meet the exacting demands of sub-half micron lithography, rigorous analysis must be a part of the entire optical design process. Several new modeling techniques have been developed to aid in determining the lithographic performance of an optical design. An aerial image modeling program has been implemented for the examination of CD variation and isolated/grouped line bias. Additional models have been developed to examine lens heating effects, stray light, and ghost images. A tolerancing technique has been established which provides maximum manufacturability while allowing minimal performance degradation. This design, analysis, and tolerancing process has yielded the first 31 mm field, 0.53 NA, 248 nm lithographic objective capable of producing 0.35 micrometers features in a manufacturing environment. The objective, as built, has a maximum astigmatism of 0.20 micrometers and a total focal plane deviation of 0.17 micrometers . A 1.3 micrometers common focus corridor in 1.0 micrometers thick APEX-E has been demonstrated for 0.35 micrometers features over the entire field. A grouped/isolated CD bias of 9 nm has been measured. This paper presents the design and analysis procedures along with the experimental results for this objective.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel technique to fabricate a rim-type phase-shifting mask has been developed by exposing the back side of the mask with light. Such a mask requires no significant modification to conventional design layouts and improves aerial image contrast and depth of focus over that of a conventional reticle. The technique is self-aligning, and requires only one data writing level. Results for the simple and highly controllable process are presented, characterizing rim size versus dose and rim image uniformity, linearity, fidelity, and controllability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase shifting mask techniques using an i-line wafer stepper (ASML PAS 2500/40) were applied to our recessed gate process for the fabrication of GaAs/AlGaAs high electron mobility transistors (HEMT). Several methods for making reticles with contrast enhanced shifters, such as an extra patterned resist layer on top of the chromium reticle are presented. This paper gives a short review of the different phase shift processes and layer geometries and the advantages and disadvantages depending on application are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase shift lithography greatly improves the resolution of optical steppers, but its practical application is degraded by phase defects arising during mask fabrication. Phase errors resulting from residues or voids in the mask shifter films cause defects by printing directly onto the wafers or by influencing the printing of adjacent patterns. The effects of optical interferences resulting from mask phase errors are analyzed by applying a simplified 2- dimensional defect model to both isolated patterns and alternating shifter arrays. Results of these analyses show the effects of asymmetric defocusing characteristics on the printability of defects and on critical dimension control of adjacent patterns. A new repair method is described using arrays of non-printable features to counteract the effects of phase defects in alternating arrays. Results of this study have been verified by evaluating the effects of programmed defects on printed wafers and by repairing patterns of conjugate twin-shifters within a new mask structure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A real I-line process case study of a dense array submicron pattern is analyzed using a 3-D simulator tool, instead of the traditional `experimental trials' approach. The array consists of 2-D mask geometry with strong edge distortions at pattern XY corners. The lithography process' most common variations are considered: exposure energy and resist/nitride/oxide thin film thicknesses. Relative edge-to-center linewidth variations are the responses in a quadratic statistical experiment designed for the process window volume determination. Once the process window is built the targets for the process parameters are set and their variations within the window are monitored to desensitize the 2-D mask array edge-to-center distortions. Analysis of the process window volume allows answers to lithography process questions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The generation of the required phase difference is made by silylation of a novolak based resist using the swelling of the exposed resist. The contrast and the image transfer of these kinds of transparent masks are calculated by simulation. Results of optical image transfer using this phase mask are presented and discussed. The high resolution of 0.3 micrometers for a simple i- line technique is demonstrated by SEM micrographs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper discusses the effect of duty ratio of line and space patterns and the coherency of illumination for the projection system on the lithographic characteristics, which must be taken into consideration in designing LSI patterns with an alternated type phase-shifting method. It was found that the alternated phase-shifting method improved the DOF for space patterns using a width smaller than the line width. On the contrary, there was no effect for narrow line patterns when the space width was larger than twice the line width. It was also found that the DOF became larger, when the coherency became higher using both the alternated and the conventional mask for line patterns having a width smaller than the space width. It is concluded that a high coherency must be chosen for the phase-shifting method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the numerical aperture (NA) in optical projection systems increases, the vector nature of the projected electric and magnetic fields becomes more important. Recent advances in off- axis illumination, tilting condenser lenses, and applying spatial filters, as well as phase-shift masks, hold the potential of increased depth of focus. To simulate these techniques in the high- NA regime, we have developed new fast algorithms. The development reported here builds on our recent work (1) extending scalar aerial imaging. The systematic treatment of (1) has now been applied to account for the vector nature of light.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the study of phase-shift mask technology, we found a peculiar phenomenon: on certain conditions, phase-shift mask behaves like an opaque area (dark area). In order to know the reason, we discuss and analyze the mechanism of the phenomenon in detail, using diffraction theory and information theory. Also we found that there exists a critical value of the non- phase-shift area's dimension, as long as we are below the value, we can make a phase-shift mask be a dark area, no matter what kind of shape the mask has. In the meantime, the applications of the phenomenon are also suggested.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase-shifting needs the critical dimension (CD) accuracy to be less than 0.05 micrometers for the metal and shifter pattern on a phase-shifting mask. Thus we have investigated a new etching process using magnetically enhanced reactive ion etching (MERIE). A magnetic field was provided by two pairs of solenoid coils outside the chamber. By using this MERIE system, the etching characteristics of chromium (Cr) and spin on glass (SOG) were evaluated. A Cl2 and O2 gas mixture was used for Cr etching. The etching selectivity had a maximum when the concentration of O2 was 20%. The etching selectivity increased with an increase in the magnetic field and gas pressure as well as with a decrease in the rf power. High etching selectivity and anisotropic etching features were obtained when the magnetic field was 100 G, the gas pressure 10 - 30 Pa, and the rf power density 0.18 - 0.22 W/cm2. Phase-shifting masks fabricated with this system show a CD accuracy of better than 0.05 micrometers , so 64 MB DRAM phase-shifting masks can be successfully fabricated with this MERIE system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We explore the use of amorphous silicon as an alternative to chrome for deep UV masks. The primary advantage is that patterns may be dry etched. As masks' feature sizes continue to shrink, this dry etch capability of amorphous silicon can be a significant factor. Amorphous silicon has a further advantage of high absorbtivity over the 190 - 350 nm region. We have characterized the reflectivity and absorption of amorphous silicon, polysilicon, and chrome in the deep UV range from 190 nm to 365 nm. The optical properties of amorphous and polysilicon are very similar, but the superior homogeneity of amorphous silicon for etching suggests it as the preferred form. The absorbtivity of silicon exceeds that of chrome in this region (by about 20%); consequently a 700 A film is adequate to insure transmission of less than .01%. We have examined both optical and e-beam mask making processes. The amorphous silicon is deposited on quartz blanks by means of LPCVD. After removing the backside film, the pattern is transferred to the silicon using e-beam or optical exposure. The pattern is then developed by a dry plasma etch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The paper presents the features of the Lambda Physik 248 LEX KrF DUV-Lithography laser for spectral performance, reliability, and system integration. The 248 LEX is based on Lambda Physik's series of `LAMBDA' industrial lasers. Data of 5 years field experience with the LAMBDA high power industrial lasers are used to discuss reliability of the laser in and economic aspects of the laser application in terms of cost-of-ownership. Results of an endurance test program for lithography lasers are used to given an outlook on system performance and component lifetime. Based on these data the cost-of-ownership is projected for the coming years and multiple system installations. An update of Lambda Physiks' R&D effort and recent progress is given.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present measurements of the spectral characteristics of a spectrally narrowed KrF excimer laser designed for use in advanced, high numerical aperture deep UV steppers. Using a specially designed high resolution grating spectrometer, we measured a bandwidth of 1.06 pm FWHM, with 95% of the energy contained within a 3.15 pm band (6 W output power). Using an atomic iron emission line ((lambda) equals 248.3271 nm), the grating spectrometer, and the laser's etalon based wavemeter, the absolute wavelength was calibrated to an accuracy better than 0.1 pm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Efficient spectral narrowing, tuning, and stabilization devices characterized by improved energy efficiency and operating parameters have been constructed. The devices are used with KrF lasers intended for submicron lithography. Up to 5 pm spectral line narrowing is provided by two Fabry Perot etalons with thoroughly optimized parameters. They permit us to achieve unprecedented spectral narrowing energy efficiency of 50%. A special device based on a passively stabilized reference Fabry-Perot etalon is used for laser wavelength stabilization within 1 pm. A unique optical scheme of interference pattern formation is realized in the device; the laser wavelength is controlled by spatial location of fringes. The device also features precision wavelength tuning (approximately 0.1 pm), better resistance to electromagnetic noise, and higher operating characteristics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The combination of dyed photoresist and top antireflection (TAR) coatings was applied to I- line and deep-UV lithography on polysilicon. Optimization of the resist layer's absorption and application of the TAR process significantly improves CD control of submicron gate level lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The striation problem on 8' topographical wafers is reported. The striation on topographical wafers is not observed on planar wafers. The degree of striation increases with topographical step-height for the traditional photoresist dispense method. In this study, two modified photoresist dispense methods have been adopted for improving striation problems on topographical wafers. The design of experiment by Taguchi method has been used to determine the optimized conditions for the resist dispense methods. The results of signal-to- noise ratios analyses indicate the factors influencing the degree of striation are strongly dependent on the dispense method being used. It is noted that the traditional dispense method only shows a small degree of improvement in striation even by using Taguchi design-of- experiment (DOE). A large degree of improvement in striation can be achieved by using the modified dispense methods suggested in this study. In summary, this study shows the striation problem on 8' topographical wafers can be improved by using the modified dispense methods suggested in the study. The Taguchi design of experiment method is a valuable tool to determine the optimized process conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The new microelectronics devices' generations require an increase in resolution down to 0.35 micrometers . For this purpose, deep UV lithography appears to be a good candidate. Excimer laser deep UV steppers have matured to a production worthy state. Up to now, commercially available deep UV resists were negative toned. Consequently, we have investigated the performances and the limits of negative toned deep UV resists, XP89131 and died versions such as XP90166, all from Shipley. This investigation has been performed, using an ASM 5000/70 stepper, on different levels for two types of devices: (1) gate level for 0.35 micrometers CMOS, and (2) poly 1, poly 2, and metal levels for 64 Mbit EPROM. Optimized process conditions are presented. The performances of these processes as well as their limits are discussed as a function of material and topography. Moreover, electrical results are compared to lithographic results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To maintain critical dimension control in a production environment, it is essential that all wafer steppers have their effective doses matched. Because high pressure Mercury illumination sources actually have a bandwidth of 10 nm and typical resist absorbance curves are dropping steeply around the 436 nm region, differences between G-line filters can cause exposure shifts between steppers. Functional exposure differences on dose to clear wafers of 9% are explained by combining integrator and G-line filter spectrophotometer tests on ten .54 NA wafer steppers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two photoresists were selected for alignment characterization based on their dissimilar coating properties and observed differences on alignment capability. The materials are Dynachem OFPR-800 and Shipley System 8. Both photoresists were examined on two challenging alignment levels in a submicron CMOS process, a nitride level and a planarized second level metal. An Ultratech Stepper model 1500 which features a darkfield alignment system with a broadband green light for alignment signal detection was used for this project. Initially, statistically designed linear screening experiments were performed to examine six process factors for each photoresist: viscosity, spin acceleration, spin speed, spin time, softbake time, and softbake temperature. Using the results derived from the screening experiments, a more thorough examination of the statistically significant process factors was performed. A full quadratic experimental design was conducted to examine viscosity, spin speed, and spin time coating properties on alignment. This included a characterization of both intra and inter wafer alignment control and alignment process capability. Insight to the different alignment behavior is analyzed in terms of photoresist material properties and the physical nature of the alignment detection system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The distortion introduced by some manufacturing steps on wafers can sometimes have a strong effect on overlay results. Thermal processes, for example, can introduce wafer distortions that cannot be completely compensated by the stepper alignment system with a consequent degradation in overlay. A new methodology which can measure the process induced distortion on wafers (exposed with a stepper system) at different steps in a standard process flow has been developed and is described in this paper. This method does not require any external metrology instruments apart from a standard precision stepper and the method is compatible with all process layers. Experimental results of application of the method on manufacturing process are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a high-leverage cost-reduction methodology -- advanced mix-and-match lithography. Quantifying the areas of cost savings and cost of ownership is essential in determining the optimum mix-and-match approach. Cost of ownership, using operating data coupled with quantitative models, is analyzed for a half-micron 200 mm fabrication line producing 16 Mbit DRAMs. Utilizing advanced lithography clusters to process the critical levels and cost-effective high-productivity cluster systems for the non-critical levels has resulted in a net production cost savings in excess of 30%. Data comparisons are made between process enhancements and tool types. Areas of cost savings are identified individually and ranked. Further, tradeoffs in learning, cycle time, and technology extendibility are also considered. The cost/benefit analysis demonstrates that mix-and-match lithography is a highly effective method for reducing lithography costs. This paper also discusses the increasing importance of cost modeling to improve competitiveness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The traditional cost of ownership approach used in the semiconductor industry answers the question `How much does processing a test wafer increase the cost of product?' However, this approach does not directly answer the question `How much does it cost to process a test wafer?' A simple new cost of ownership model which answers this question, the Opportunity Cost Model (OCM), has been developed and is presented here. Background information on the OCM, the determination of opportunity costs, and the extra costs associated with test wafers are analyzed. Also, in an effort to quantify the costs associated with test wafer processing, a comparison of modeling and test wafer processing is presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper discusses various techniques to implement the physical-optics approximation for topography simulation. The accuracies of the various techniques are examined by comparison with the results of a rigorous, time- domain method. It is shown that inter-surface multiple scattering effects and near-field diffraction effects in intra-surface multiple scattering must both be taken into account to obtain satisfactory agreement with the time-domain method. A technique to correct for the effects of non-physical-optics edge currents is also described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes investigations into scalar and vector diffraction modeling for 248 nm lithography. An experimental design approach was used to study the effects and interactions of coherence, polarization, and numerical aperture on a resist feature response. An exposure latitude response to achieve 10% linewidth control with +/- 0.3 micron of defocus was utilized. Both vector and scalar diffraction models were used to simulate process runs. Experimental comparisons were made using a variable NA, variable coherence deep-UV projection system, adapted for control of polarization at the aperture of the mask. Exposure latitude response surfaces are presented, along with details on isolated process runs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we used actual experimental results to calibrate the 3-D lithography simulator SOLID, a lithography simulator with proven ability to accurately simulate sub-resolution patterns. The RS1 Discover DOE software was used to determine optimum values for the uncertain parameters. The tuned simulator was then used to predict behavior both regular and sub-resolution patterns. The simulation results were compared to actual process data for the final verification.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of statistically designed experiments provides an efficient method of investigating a lithographic process. Lithographic simulators have also been used as a tool in the investigation of these processes. This paper provides a general methodology for conducting designed experiments in which a computer simulator is the tool used as the data collection device. The rim shifter is a phase shifting technique that was investigated. Response surfaces measuring depth of focus were generated from simulated data. The resolution and depth of focus capabilities of this phase shift technique were also measured by both experimental and simulated data.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Vector diffraction theory was applied for the calculation of aerial images to explore the polarization effect of illumination light in optical lithography. The Hopkins theory was used for the calculation of partially coherent imaging with a new pupil function defined for each electric field component. Three types of imaging were considered for line/space patterns: (1) the imaging with the 0th, the -1st and the +1st orders of diffracted waves (ordinary imaging), (2) the imaging with the -1st and the +1st orders of diffracted waves (Levenson type phase-shifting), and (3) the imaging with the 0th and either the -1st or the +1st orders of diffracted waves (off-axis illumination). As a result, it was found that the aerial images were remarkably affected by the polarization state in (2) and (3) at high numerical apertures, i.e., the illumination light polarized parallel to the lines and spaces gave much higher image qualities than the illumination polarized perpendicular to the lines and spaces. Their differences were too large to be neglected even when the decrease of the effective numerical aperture in the photoresist was taken into consideration. This fact suggests that it is possible to further improve the resolution of an optical system by controlling the polarization besides using phase-shifting masks or off-axis illumination. The polarization dependence of the image quality was mainly attributed to the behavior of the field component parallel to the optical axis, and its characteristics were qualitatively understood using the transmission cross-coefficient defined for the component.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the design and development of a KrF excimer laser stepper and discusses the detailed system parameters and characterization data obtained from the performance test. We have developed a deep UV step-and-repeat system, operating at 248 nm, by retrofitting commercial modules such as a KrF excimer laser, precision wafer stage and fused silica illumination and 5X projection optics of numerical aperture 0.42. What we have developed, to the basic structure, are wafer alignment optics, reticle alignment system, autofocusing/leveling mechanisms and an environment chamber. Finally, all these subsystems were integrated under the control of microprocessor-based controllers and a computer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new generation i-line optical stepper utilizing the established benefits of the 1x Wynne- Dyson lens design has been developed for mix-and-match lithography. Based on the advantages of cost of ownership and high throughput capability, the Ultratech 2244i was specifically designed as a cost effective approach to complement high NA reduction steppers in a mix-and-match environment, especially for high volume DRAM and ASIC manufacturing. This system features an ultra-large image field of 22 X 44 mm with a 0.32 numerical aperture lens with an illumination bandwidth of 20 nanometers (355 to 375 nm). As a result, this system provides 0.8 micrometers manufacturing capability. These features provide improved critical dimension (CD) interference effects and superior depth-of-focus for the 2244i.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A small field refractive projection system for operation at the 193.3 nm wavelength of a spectrally narrowed ArF excimer laser is being constructed. The 1 mm field, 20X system operates with a variable objective lens numerical aperture from 0.30 to 0.60, variable partial coherence, and control over illumination fill and mask tilt. A 30 W maximum power ArF excimer laser has been spectrally line-narrowed through incorporation of tilted Fabry-Perot etalons into the laser cavity, allowing linewidths on the order of 7 cm-1 (26 pm) with one etalon and 0.5 cm-1 (2 pm) with two etalons. This work reports laser line narrowing and lens performance results. Simulations of aerial image intensity distributions from lens aberration data are presented for 0.25 and 0.20 micron geometry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In our experiments, we have found that the velocity of direct projective lithography is very fast, if excimer laser is used. In the system, we use an XeCl excimer laser (laser wavelength 308 nm, laser bandwidth 1 nm, pulse width 40 ns, and pulse energy 300 mJ/pulse) and a 1:1 catadioptric lens used as the projective lens (with NA: 0.35, field size: 40 mm). Optical resist is AZ 1350 J. The laser energy density on the wafer is 6 mJ/cm2. Exposure dose is 300 mJ/cm2. Through the system, we have not only obtained 1.0 micrometers resolution on 0.6 micrometers thickness resist, but also have studied the damage of Cr film in the direct projective lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.