KEYWORDS: Electrons, Copper, Scattering, Monte Carlo methods, Polymethylmethacrylate, Particles, Particle contamination, Photomasks, Electron beam lithography
In this paper, we show the characteristics of particle contamination induced defect footprints and explain the basic principles of their formation during ebeam exposure. To verify these principles, we carried out full 3D Monte Carlo Simulations of electrons impinging on the mask stack (modelled as PMMA, Cr, and SiO2), covered by a defect layer and compared the simulated contour with SEM images of real defect footprints. The relevant physical property is the deposited energy inside the PMMA layer. First, we verified in our simulations that the deposited energy is indeed antiproportional to the beam energy. In a second step we simulated scattering trajectories of electrons to quantify the nontrivial dependence of deposited energy on the size and thickness of defects as well as defect composition. We also considered shotnoise statistics due to the limited number of electrons in ebeam pattern generation accompanied by gaussian smoothing of the deposited energy representing subsequent processing and demonstrate that considerably increased energy deposition to an ebeam-active resist can occur in direct vicinity of a scattering defect when scattering widens the beam opening angle leading to longer trajectories inside the resist. The pattern generator is variable-shaped electron beam (VSB) with 50 keV energy operated in the high-volume photomask manufacturing facility at AMTC Dresden, the Monte Carlo simulation software is virtualSEM from GenISys GmbH.
For certain designs, we observe a rather peculiar defect during phase-shift mask production. At distinct positions on the mask, the chrome disappears within the second level process in almost perfect half circles. This effect can even be observed if no etching is applied at all. The root cause of this defect is electrochemical dissolving of chrome in DI water during the development rinse process, which appears at locations where the chrome is in contact to the developer rinse medium. In this publication we describe the experimental set-up to investigate the root cause mechanism and propose solutions to overcome the effect.
The long-term development of electronics obliges increasingly tighter specifications for photomasks to meet the
requirements of continuing miniaturization. We report on the influence of two different linear drive nozzle types A and B
used for conducting the develop process on important mask properties, which comprise CD uniformity (CDU), loading
behaviour, mean to target (MTT), iso-dense bias, line width roughness (LWR), linearity, resolution and defectivity. The
results are presented for different resists, resist thicknesses and blank materials. First, the most important recipe
parameters to ensure the best develop performance are defined and experimentally determined. Those critical factors are
the nozzle scan speed over the mask, the develop time, the distance between nozzle and mask surface and the flow rate of
the medium. It is demonstrated how these parameters can significantly affect the develop process performance. Dark loss
experiments reveal that a more uniform resist removal takes place with the B kind of nozzle compared to that achieved
with nozzle A. Based on the mask properties, the performances of two different nozzle types are compared. It is found
that improvements with the B like nozzle can be achieved for CDU and loading. The presented nozzle type shows a
promising approach to meet the requirements of future electronics.
Critical dimensions (CD) measured in resist are key to understanding the CD distribution on photomasks. Vital to this
understanding is the separation of spatially random and systematic contributions to the CD distribution. Random
contributions will not appear in post etch CD measurements (final) whereas systematic contributions will strongly impact
final CDs. Resist CD signatures and their variations drive final CD distributions, thus an understanding of the mechanisms
influencing the resist CD signature and its variation play a pivotal role in CD distribution improvements. Current
technological demands require strict control of reticle critical dimension uniformity (CDU) and the Advanced Mask
Technology Center (AMTC) has found significant reductions in reticle CDU are enabled through the statistical analysis of
large data sets. To this end, we employ Principle Component Analysis (PCA) - a methodology well established at the
AMTC1- to show how different portions of the lithographic process contribute to CD variations. These portions include
photomask blank preparation as well as a correction parameter in the front end process. CD variations were markedly
changed by modulating these two lithographic portions, leading to improved final CDU on test reticles in two different
chemically amplified resist (CAR) processes.
Reticle critical dimension (CD) errors must be minimized in order for photomask manufacturers to meet tight CD uniformity
(CDU) requirements. Determining the source of reticle CD errors and reducing or eliminating their CDU contributions are
some of the most relevant tasks facing process engineers. The AMTC has applied principal component analysis (PCA) to
reticle resist CD measurements in order to examine variations in the data. PCA provided the major components of resist CD
variation which were rescaled into reticle CD signatures. The dominant component of CD signature variation is very similar
in shape and magnitude between two different chemically amplified resist (CAR) processes, most likely indicating the
variation source is a common process or tool. CD variational signatures from PCA were used as a basis for launching
investigations into potential reticle CD error sources. PCA was further applied to resist CD measurements from alternate
process tools to assist efforts in judging the effectiveness of resist CD signature matching.
An increasingly tighter set of mask specifications requires new equipment, process improvements, and improved e-beam resist materials. Resist profiles, footing behavior and line edge roughness (LER) have strong impacts on CD-uniformity, process bias and defect control. Additionally, the CD stability of e-beam resists in vacuum contributes to the final CD-uniformity as a systematic error. The resolution capability of the resist process is becoming increasingly important for slot contact like features, which are expected to be applied as clear assist features in contact hole layers at the sub 100nm technology node (1x)1. Three e-beam sensitive pCAR resists from different vendors were investigated in terms of resolution and pattern quality, PED stability, PEB sensitivity, dose latitude, CD-uniformity and line edge roughness. As reported here, all three pCARs showed improvements in all of these areas. Future work with these pCAR resists will focus on defect density, PCD, and CD uniformity.
Recently, the design of integrated circuits has become more and more complicated due to higher circuit densities. In particular for logic applications, the design is no longer uniform but combines different kinds of circuits into one mask layout resulting in stringent criteria for both wafer and photomask manufacturing. Photomask CD uniformity control and defectivity are two key criteria in manufacturing today’s high-end reticles, and they are both strongly impacted by the mask developing process.
A new photomask develop tool (ACT-M) designed by Tokyo Electron Limited (TEL) has been installed at the Advanced Mask Technology Center (AMTC) in Dresden, Germany. This ACT-M develop tool is equipped with a standard NLD nozzle as well as an SH nozzle which are both widely used in wafer developing applications. The AMTC and TEL used the ACT-M develop tool to adapt wafer puddle develop technology to photomask manufacturing, in an attempt to capture the same optimum CD control enjoyed by the wafer industry. In this study we used the ACT-M develop tool to examine CD uniformity, local loading and defect control on P-CAR and N-CAR photomasks exposed with 50keV e-beam pattern generators. Results with both nozzle types are reported. CD uniformity, loading, and defectivity results were sufficient to meet 65-nm technology node requirements with these nozzles and tailored made develop recipes for photomask processing.
The challenges, mask manufacturing is faced with, are more and more dominating the semiconductor industry as the pattern sizes shrink. Today's mask patterns have reached sizes that are common in wafer manufacturing. Looking into the industry, we can see that some of the quality parameters - such as CD uniformity and defect control - are managed better in wafer than in mask manufacturing. Consequently, mask manufacturers have started to apply more wafer processing techniques to mask processes. Among others, develop process has a great impact on the quality of the mask manufacturing. This contribution describes how Tokyo Electron Limited (TEL) scanning (linear drive nozzle) developer processing (widely used in advanced wafer manufacturing) was adapted for mask development. Out of this technology transfer, a new alpha-type mask develop tool was launched at TEL and an evaluation of this tool was carried out at the Advanced Mask Technology Center (AMTC), Dresden, Germany. Target of this collaboration was to successfully transfer wafer processing technology to mask making. By this, valuable information was generated, that has been implemented into the production platform, which is commercialized since first half of 2004.
Recently developed positive tone CARs (pCAR) and negative tone CARs (nCAR) have been evaluated for mask making using a 50kV e-beam pattern generator. We determined a screening method considering the most important parameters for example resolution, profile, delay influences, line edge roughness (LER), which was identically applied for all investigated resist samples. The screening was accomplished on 6025 chrome blanks using a state-of-the-art mask line. Some of the investigated resists have shown promising progress in terms of straight profile, of reduced footing, of lower line edge roughness and of an almost insensitive influence of the post exposure delay. Unfortunately, all the improvements were not unified in one sample.
A new photomask develop tool designed by Tokyo Electron Limited (TEL) with wafer puddle technology was evaluated at the Advanced Mask Technology Center (AMTC) in Dresden, Germany. Parameters selected for this evaluation were resist dark loss uniformity, critical dimension (CD) uniformity, loading, linearity, resist cross sectional images, and defects using chemically amplified resists (CARs) exposed with DUV (l=257nm) and 50KeV e-beam pattern generators. Implementing wafer puddle technology to photomask developing was not a simple, straightforward process. Standard CAR puddle recipes for wafer developing were inadequate to match CDU requirements for photomasks at the 130nm technology node using DUV exposure. While the results were disappointing, the TEL alpha develop tool cannot be held entirely responsible. Other, non-develop tool related factors such as resist, substrate, coating bake temperature and time, lithography tool, and post exposure bake temperature and time, all contributed to the final post develop results. Indeed, other CAR/substrate combinations exposed at 50keV e-beam and processed on the TEL alpha develop tool were markedly better in CD performance when compared to DUV results. The AMTC has recently taken delivery of a full scale, production worthy, TEL photomask develop tool for use at future technology nodes.
The work outlines a solution to the challenge of integrating a planarizing BARC into the via first dual damascene manufacturing process. We report the initial problems encountered in attempting the planarizing process and the resulting investigation into the coating process. We identify the critical parameters relating to the via fill performance of the material which relate not only to the process conditions, but also to the chemical make-up of the BARC. As a direct result of this study, a low molecular weight component within the DUV BARC has been identified which may be the key component to planarizing behavior. Cooperation from both supplier and user was necessary, as the availability of alternative test structures, with equivalent topography/surface chemistry, as device wafers was not possible. This resulted in considerable investment [from the user] of sacrificing product wafers for the analysis of the planarizing performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.