With the introduction of the NXE:3400 scanner, ASML has brought EUV lithography to high-volume manufacturing (HVM) at the 7-nm logic node and beyond. In this presentation, we will briefly summarize the imaging performance results obtained from the latest NXE:3400 system that is characterized by a numerical aperture (NA) of 0.33 and a pupil-fill ratio (PFR) of 0.2. Then we will discuss enhancement techniques which can be considered to push EUV lithography to finer resolution and lower k1 values. We will do this by first looking back over the last two decades at the enhancement techniques considered and used for low k1 imaging in KrF, ArF and ArFi lithographies and discussing their applicability for EUV lithography. We also will look into the fundamental differences between KrF/ArF and EUV, most notably concerning resist and mask. Both have an impact on the effective NILS. Two main pillars for both DUV and EUV NILS or imaging enhancement are the mask absorber embodiment and the illumination pupil characteristics. We will summarize our findings on mask optimization, which has been carried out by studying EUV lithography at diffraction level. We will show by simulations and experiments how M3D effects (contrast loss, best focus per feature) can be mitigated by either advanced mask embodiments or advanced illumination schemes.
NXE:3300B scanners have been operational at customer sites since almost two years, and the NXE:3350B, the 4th generation EUV system, has started shipping at the end of 2015. All these exposure tools operate using MOPA pre-pulse source technology, which enabled significant productivity scaling, demonstrated at customers and at ASML. Having achieved the required throughput to support device development, the main priority of the ASML EUV program has shifted towards improving stability and availability. Continuous progresses in defectivity reduction and in the realization of a reticle pellicle are taking place at increased speed. Today’s overlay and imaging results are in line with the requirements of 7nm logic devices; Matched Machine overlay to ArF immersion below 2.5 nm and full wafer CDU performance of less than 1.0nm are regularly achieved. The realization of an intensity loss-less illuminator and improvements in resist formulation are significant progress towards enabling the use of EUV technology for 5nm logic devices at full productivity. This paper will present an overview of the status of the ASML EUV program and product roadmap by reviewing the current performance and on-going developments in productivity, imaging, overlay and mask defectivity reduction.
This paper describes the development and evolution of the critical architecture for a laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source for advanced lithography applications in high volume manufacturing (HVM). In this paper we discuss the most recent results from high power sources in the field and testing on our laboratory based development systems, and describe the requirements and technical challenges related to successful implementation of those technologies on production sources. System performance is shown, focusing on pre-pulse operation with high conversion efficiency (CE) and with dose control to ensure high die yield. Finally, experimental results evaluating technologies for generating stable EUV power output for a high volume manufacturing (HVM) LPP source will be reviewed.
Multiple NXE:3300 are operational at customer sites. These systems, equipped with a Numerical Aperture (NA) of 0.33, are being used by semiconductor manufacturers to support device development. Full Wafer Critical Dimension Uniformity (CDU) of 1.0 nm for 16nm dense lines and 1.1 nm for 20nm isolated space and stable matched overlay performance with ArF immersion scanner of less than 4nm provide the required lithographic performance for these device development activities. Steady progresses in source power have been achieved in the last 12 months, with 100Watts (W) EUV power capability demonstrated on multiple machines. Power levels up to 90W have been achieved on a customer machine, while 110W capability has been demonstrated in the ASML factory. Most NXE:3300 installed at customers have demonstrated the capability to expose 500 wafers per day, and one field system upgraded to the 80W configuration has proven capable of exposing 1,000 wafers per day. Scanner defectivity keeps being reduced by a 10x factor each year, while the first exposures obtained with full size EUV pellicles show no appreciable difference in CDU when compared to exposures done without pellicle. The 4th generation EUV system, the NXE: 3350, is being qualified in the ASML factory.
ASML's NXE platform is a multi-generation TWINSCAN™ platform using an exposure wavelength of 13.5nm,
featuring a plasma source, all-reflective optics, and dual stages operating in vacuum. The NXE:3100 is the first product
of this NXE platform. With a 0.25 NA projection optics, a planned throughput of 60 wafers/hr and dedicated chuck
overlay of 4 nm, the NXE:3100 is targeted for extreme ultraviolet lithography (EUVL) implementation at 27nm halfpitch
(hp) and below. The next generation NXE tools utilize a 0.33NA lens and include off-axis illumination for high
volume manufacturing at a resolution down to 16nm hp and a targeted throughput of >100 wafers/hr. We share details
of the performance of the 0.25NA lithography products in terms of imaging, overlay, throughput, and defectivity. We
will show that we have met the required imaging performance associated with the 27nm hp node. We will also include a
summary of the EUV source development, which is a key enabler for cost-effective introduction of EUVL into highvolume
manufacturing. Finally, we will highlight some of the technical changes we introduced to enable the transition
from 27 to 22nm lithographic performance while introducing our 0.33NA Step & Scan system, the NXE:3300B.
In this paper we will present ASML's holistic approach to lithography for EUV. This total approach combines the
various components needed to achieve the correct on-product demands of our customers in terms of patterning fidelity
across the entire image field and across the entire wafer.
We will start giving a general update on ASML's NXE scanner platform of which the 6th NXE:3100 systems is now
being shipped to a leading chipmaker. The emphasis will be on wafer imaging results for various applications such as
flash memory and logic's SRAM. Then we will describe the second holistic component, NXE-computational
lithography, which was developed to speed-up early learning on EUV and to achieve high accuracy on the wafers.
Thirdly, the YieldStar angular-resolved scatterometry tool that supports the scanner's stability was used to characterize
the system and calibrate the models.
The wafer-results reveal in detail predicted imaging effects of NXE lithography and allow a calibration of system
parameters and characterization of hardware components. We will demonstrate mask-induced imaging effects and
propose an improvement of the current EUV blank or mask-making processes.
With the 1st NXE:3100 being operational at a Semiconductor Manufacturer and a 2nd system being shipped at the time of
writing this paper, we enter the next phase in the implementation of EUV Lithography. Since 2006 process and early
device verification has been done using the two Alpha Demo Tools (ADT's) located at IMEC in Leuven, Belgium and at
the CSNE in Albany, New York, USA. Now process integration has started at actual Chipmakers sites. This is a major
step for the development and implementation of EUVL. The focus is now on the integration of exposure tools into a
manufacturing flow, preparing high volume manufacturing expected to start in 2013.
While last year's NXE:3100 paper focused on module performance including optics, leveling and stages, this years
update will, in detail, assess imaging, overlay and productivity performance. Based on data obtained during the
integration phase of the NXE:3100 we will assess the readiness of the system for process integration at 27nm hp and
below. Imaging performance with both conventional and off-axis illumination will be evaluated. Although single
exposure processes offer some relief, overlay requirements continue to be challenging for exposure tools. We will share
the status of the overlay performance of the NXE:3100. Source power is a key element in reaching the productivity of
the NXE:3100 - its status will be discussed as well.
Looking forward to high volume manufacturing with EUV we will update on the design status of the NXE:3300B being
introduced in 2012 with a productivity target of 125wph. Featuring a 0.33NA lens and off-axis illumination at full
transmission, a half pitch resolution from 22nm to 16nm can be supported. In order to ensure a solid volume ramp-up the
NXE:3300B will be built on as many building blocks from the NXE:3100 as possible making optimum use of the NXE
platform concept.
EUVL requires the use of reflective optics including a reflective mask. The mask contains a reflecting
multilayer, tuned for 13.5 nm light, and an absorber which defines the dark areas. The EUV mask itself is a
complex optical element with many more parameters than just the mask CD uniformity of the patterned
features that impact the final wafer CDU. One of these parameters is absorber height. It has been shown
that the oblique incidence of light in combination with the small wavelength compared to the mask
topography causes a so-called shadowing effect manifesting itself particularly in an HV wafer CD offset. It
was also shown that this effect can be essentially decreased by reducing absorber height and, in addition, it
can be corrected by means of OPC.
However, reduction of absorber height has a side effect that is an increased reflectivity of a mask black
border resulting in field-to-field stray light due to parasitic reflections. One of the solutions to this problem
is optical process correction (OPC) at field edges. In this paper we will show experimental data obtained on
ASML EUV Alpha tool illustrating the black border effect and will demonstrate that this effect can be
accurately predicted by Brion Tachyon EUV model allowing for a significant cross field CD uniformity
improvement with mask layout correction technique.
Also we show by means of rigorous 3D simulations that it is possible to improve the imaging performance
significantly by performing global optimization of mask absorber height and mask bias in order to increase
exposure latitude, decrease CD sensitivity to mask making variations such as CD mask error and absorber
stack height variations. By sacrificing some exposure latitude throughput of exposure tool can be increased
essentially and HV mask biasing can be reduced. For four masks with different absorber thicknesses from
44 nm to 87 nm it is proven experimentally by means of the EUV Alpha tool exposures of 27 nm L/S that
the absorber thickness can be tuned to maximize exposure latitude. It was also proven that dose to size
grows with absorber height and optimal feature bias depends on mask absorber height.
EUVL requires the use of reflective optics including a reflective mask. The reticle blank contains a
reflecting multilayer, tuned for 13.5nm, and an absorber which defines the dark areas. The EUV mask is a
complex optical element with many more parameters than the CD uniformity of the patterned features that
impact the final wafer CDU. Peak reflectivity, centroid wavelength and absorber stack height variations
need to be tightly controlled for optimum performance. Furthermore the oblique incidence of light in
combination with the small wavelength compared to the mask topography causes a number of effects which
are unique to EUV, such as an H-V CD offset and an orientation dependent pattern placement error. These
so-called shadowing effects can be corrected by means of OPC, but also need to be considered in the mask
stack design.
In this paper we will show that it is possible to improve the imaging performance significantly by reducing
the sensitivity to mask making variations such as capping layer thickness and absorber stack height
variations. The impact of absorber stack height variations on CD and proximity effects will be determined
experimentally by changing the local absorber stack height using the novel e-beam based reticle repair tool
MeRiT® HR 32 from Carl Zeiss in combination with exposures on ASML's alpha demo tool. The impact of
absorber reflectivity will be shown experimentally and used to derive requirements for the reticle border
around the image field, as well as possible correction techniques.
The NXE platform is a multi-generation EUV production platform that builds the technology, design and experience of
both TWINSCAN™ and the two 0.25NA EUV tools (Alpha Demo Tools or ADT's) in use at two research centers for
EUV process development. This paper reviews the EUV Industry status, presents recent imaging and device work carried
out on the two 0.25NA ADT EUV tools and the status of the 1st production tool. Shipping in 2010, the NXE:3100 will be
the 1st generation of the EUV exposure platform. With an NA of 0.25 and a productivity of 60wph this tool is targeted
for EUV process implementation and early volume production at the 27nm node. We will highlight the key features of
the NXE:3100. On our way towards shipment we describe the manufacturing status and performance data of optics,
source and stages. The 0.32NA 2nd generation tool is designed as a lithography solution for high volume manufacturing
with EUV at the 22nm node and below. With a productivity >125wph the NXE:3300 will be a cost effective solution for
Lithography at the 22nm node and below. A 3rd generation with off-axis illumination at full transmission ensures
extendibility of the NXE:3300 for resolutions down to 16nm.
Cost, cost, cost: that is what it is - ultimately - all about. Single exposure lithography is the most cost effective means of
achieving critical level exposures, and extreme ultraviolet lithography (EUVL) is the only technology that will enable
this for ≤ 27nm production. ASML is actively engaged in the development of a multi-generation production EUVL
system platform that builds on TWINSCANTM technology and the designs and experience gained from the Alpha Demo
Tools (ADTs). The ADTs are full field step-and-scan exposure systems for EUVL and are being used at two research centers for EUVL process development by more than 10 of the major semiconductor chip makers, along with all major suppliers of masks and resist. Recently, successful implementation of EUVL for the contact hole and metal layer was demonstrated in the world's smallest (0.099 μm2) electrically functional 22nm CMOS SRAM device [1].
We will highlight the key features of the system description for the production platform, including the manufacturing
status of projection lens, illuminator optics, and source. Experimental results from ADT showing the progress in imaging
and resist work will be covered as well - a snapshot of imaging data can be seen in the figure below.
We will share our vision on the extendability of EUVL by discussing our system implementation roadmap. We will
explain our approach for multiple tool generations on a single platform, highlighting the ways to support the technology
nodes from 27nm half-pitch with a 0.25NA lens going down to below 16nm with a 0.32NA lens.
ASML's two alpha demo tools (ADTs) have successfully gone through acceptance testing at the customer sites. The ADTs are full field step-and-scan exposure systems for extreme ultraviolet lithography (EUVL) and are being used for EUVL process development.
The main objectives for the program are to prepare EUVL for insertion at the 27nm node, and to support the development of the global infrastructure of masks and resist.
Resolution of 28nm dense L/S has been demonstrated recently. In this paper we will look at the imaging performance of the AD-tools in comparison to the requirements for the 32nm node for Memory (NAND-Flash and DRAM) and 22nm node Logic applications, as these feature sizes can be supported by the current resist performance. Process windows and MEEF are evaluated for L/S and CHs through pitch down to 32nm half pitch. Furthermore, the full wafer CD uniformity of the critical features of a NAND-Flash gate layer at 32nm half pitch is presented as well. Based on these findings the expected imaging performance of the TWINSCAN NXE:3100 at the 27nm node will be discussed.
Single exposure lithography is the most cost effective means of achieving critical level exposures, and extreme
ultraviolet lithography (EUVL) is the technology that will enable this for 27nm production and below. ASML is actively
engaged in the development of a multi generation production EUVL system platform that builds on TWINSCANTM
technology and the designs and experience gained from the build, maintenance, and use of the Alpha Demo Tools
(ADTs). The ADTs are full field step-and-scan exposure systems for EUVL and are being used at two research centers
for EUVL process development by more than 10 of the major semiconductor chip makers, along with all major suppliers
of masks and resist. In this paper, we will present our EUVL roadmap, and the manufacturing status of the projection
lens for our first production system. Included will also be some test data on the new reticle pods. Experimental results
from ADT showing the progress in imaging (28 nm half pitch 1:1 lines/spaces CDU ~10%), single machine overlay
down to 3 nm, and resist complete the paper.
The ASML extreme ultraviolet lithography (EUV) alpha demo tool is a 0.25NA fully functional lithography tool with a
field size of 26×33 mm2, enabling process development for sub-40-nm technology. Two exposure tools are installed at
customer facilities, and are equipped with a Sn discharge source. In this paper we present data measured at intermediate
focus of the Sn source-collector module. We also present performance data from both exposure tools, show the latest
results of resist exposures including excellent 32-nm half pitch dense staggered and aligned contact hole images, and
present the highlights of the first demonstration of an electrically functional full field device with one of the layers made
using EUVL in ASML's alpha demo tool.
Water based immersion lithography is now widely recognized a key enabler for continued device shrinks beyond the
limits of classical dry lithography. Since 2004, ASML has shipped multiple TWINSCAN immersion systems to IC
manufacturers, which have facilitated immersion process integration and optimization. In early 2006, ASML
commenced shipment of the first immersion systems for 45nm volume production, featuring an innovative in-line
catadioptric lens with a numerical aperture (NA) of 1.2 and a high transmission polarized illumination system. A
natural extension of this technology, the XT:1900Gi supports the continued drive for device shrinks that the
semiconductor industry demands by offering 40nm half-pitch resolution. This tool features a projection lens based on
the already proven in-line catadioptric lens concept but with an enhanced, industry leading NA of 1.35. In this paper, we
will discuss the immersion technology challenges and solutions, and present performance data for this latest dual wafer
stage TWINSCAN immersion system.
The introduction of immersion step and scan systems has opened the road for hyper-NA lenses (NA > 1). At these NA's polarization control becomes a key parameter in imaging. Application of polarized illumination leads to an increase of contrast and exposure latitude. The resulting resolution enhancement offered by polarized illumination enables 45nm node lithography with an ArF, NA=1.2 system. Hyper-NA systems utilizing polarized illumination must be fully compatible with all requirements for a volume production tool: maintaining imaging performance at full throughput, overlay and focus control; flexibility and ease-of-use are essential features. Adequate polarization control is realized by employing polarization-preserving optics, and by automated in-line metrology to optimize the system for any selected polarization state. In this paper we address the improvements of polarization for the 65nm and 45nm imaging node applications. Experimental results describing the imaging effects while using polarized illumination on high-NA (NA=0.93) and hyper-NA (NA=1.2) exposure tools will be shown. These data will also be compared to simulations. In addition, this paper includes a short section that deals with the issues of reticle birefringence. Finally, system control and in-line metrology under high-volume production conditions will be discussed.
A second phase in the immersion era is starting with the introduction of ultra high NA (NA >1) systems. These systems are targeting for 45 nm node device production and beyond. ASML TWINSCAN XT:1700i features a maximum NA of 1.2 and a 26x33 mm2 scanner field size. The projection lens is an in-line catadioptric lens design and the AERIAL XP illumination system enables conventional an off-axis illumination pupil shapes in either polarized or un-polarized modes at maximum light efficiency. In this paper a description and a performance overview of the TWINSCAN XT:1700i is given. We will present and discuss lithographic performance results, with special attention at low-k1 imaging using high NA and polarized illumination. Overlay, focus and productivity performance will also be presented.
ArF immersion lithography is essential to extend optical lithography. In this study, we characterized the immersion process on production wafers. Key lithographic manufacturing parameters, overlay, CD uniformity, depth of focus (DOF), optical proximity effects (OPE), and defects are reported. Similar device electrical performance between the immersion and the dry wafers assures electrical compatibility with immersion lithography. The yield results on 90-nm Static Random Access Memory (SRAM) chips confirm doubling of DOF by immersion as expected. Poly images of the 65-nm node from a 0.85NA immersion scanner are also shown.
Various factors, such as lens aberrations, system vibration and the choice of illumination polarization can degrade the level of modulation, and hence, image quality. This paper discuses the sensitivity of multiple feature types to these factors. It is shown that aberration sensitivity increases linearly with decreasing resolution, scaled to the Rayleigh criteria. An analysis of the vibration tolerance is done for transverse and axial vibration planes, where the effects on the process window and CD uniformity are measured. The vibration is shown to decrease the process window greater for low contrast images and is shown to scale directly with the resolution. The new millennium will usher in optical system with very high NA lenses for 248nm, 193nm and 157nm. This paper re-examines the role of the polarization on required specifications of the exposure tool optics. It is found that tight polarization specifications with < 10 residual polarization will be needed for future systems.
This paper discusses the technological consequences of extending optical lithography down to 50 nm. A total systems approach is used to define the overall error budgets on CD and overlay. The feasibility of extremely high NA optics is studied. With extremely high-NA optics, Depth of Focus decreases and focus control becomes more critical. Using a Step and Scan system, the potential of system dynamics is evaluated. Mask issues are briefly reviewed. Experimental data on field extension with butting is included. As well as being technically feasible, the lithography step for the future technology nodes must be cost effective. It is concluded that optical extension should preferably be supported by reduced field, large magnification scanners. The transition to Next Generation Lithography will be pushed to at least the 50 nm node.
157 nm lithography is a strong contender for 100 nm and 70 nm technology. Although feasibility studies did not identify any strong evidence that 157 nm lithography was not viable, several technological challenges still must be faced. CaF2 has been found to be an optical material that is practical for lens and illumination elements. Initially, the use of CaF2 materials for the reticle substrate was investigated. Its high thermal expansion, however, virtually excludes its use as a mask material. Recent measurements of modified fused silica have shown sufficient transmission of 157 nm for its use in lithographic masking. The residual absorption in modified- quartz masks is expected to give rise to thermal effects, but the choice of a non-Cr-based absorber may reduce the reticle heating effect. When considering mask design rules of 100 nm and 70 nm lithography, CD requirements become more critical. Even with NA values exceeding 0.7, the k1 value for 70 nm resolution exposed with 157 nm wavelengths will be low. Consequently, the mask error factor (MEF) is expected to increase further resulting in a larger reticle contribution to the overall CD budget. A counter measure for this would be a change in stepper reduction ratio from 4X to 6X. For 6' reticles only, this would result in smaller field sizes; but with 7' or 9' reticles, field sizes could become comparable to those available in current 4X Step & Scan Systems. The advantages and disadvantages of a change in the reduction ratio are discussed.
This paper presents an evaluation on the 0.15 micrometers and 0.13 micrometers lithographic patterning alternatives for semiconductor devices. Baseline for the evaluation is a first generation ArF step and scan exposure system with 0.63 NA projection optics. The system layout is discussed and main performance data on imaging, overlay and throughput are presented. Binary masks, and various advanced 193 nm resist system are used to evalute process latitudes of dense lines, isolated lines and contact holes. The manufacturing economics, expressed in Cost Of Ownership, are evaluated for an ArF based production technology, and compared to critical layer KrF.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.