As the cost of manufacturing high-end semiconductors continues to increase, the value of combining and streamlining
metrology steps also increases. The two critical metrology steps for litho control are 1) overlay and 2) CD. In this study,
the authors demonstrate the capability of just such a combination CD and Overlay metrology solution to improve not
only the cost of manufacturing but also the quality of data and information feedback for better scanner control in high
volume production.
The authors demonstrate how using imaging and scatterometry technology on a single platform can provide a
comprehensive litho control solution for both CD and overlay in the litho module. In the study, the authors will use full
stack wafers from an advanced process node running in high volume manufacturing. Specifically, data will be generated
using PROLITH for lithographic simulations for optimal target designs and then empirical data will be collected using
the Archer 300 LCM from which optimal target selection and system performance will be determined and validated on
wafers using this advanced process technology.
KEYWORDS: Overlay metrology, Semiconducting wafers, Metrology, Data modeling, Time metrology, Process modeling, Instrument modeling, Error analysis, Process control, Yield improvement
As the feature sizes continue to shrink, more overlay metrology data are needed to meet
tighter overlay specifications which ensure high device yield. This study investigates the
advantages of process corrections to overlay errors using various reduced measurement
wafer schemes, and the improvement in yield that is realized using optimized overlay
correction models. The capacitor layer of a 4x node DRAM product is chosen for
verifying the sampling schemes in the experiment, because overlay errors of this layer are
sensitive to device yield. The test wafers are split into five groups; four groups are
sampled using various schemes and overlay correction models, and one group has a
programmed overlay error. The post-correction overlay residuals in full wafer, baseline
sampling and optimized sampling agree closely with predictions that are based on raw
measurements. A scheme with iHOPC (intrafield high order process correction) partial
third-order terms with a CPE (correction per exposure) function provides the best overlay
performance. The averaged device yields of reduced sampling schemes are comparable
with those of the full wafer scheme, however the reduction of the number of
measurements that is made in optimized sampling reduce the metrology tool time by 26%
from that required using the current scheme of factory. Therefore, the cost of metrology
can be further reduced by applying the proposed optimized sampling map in the routine
operations of fab.
KEYWORDS: Semiconducting wafers, Overlay metrology, Process control, Scanners, Lithography, Control systems, Metrology, Data modeling, Composites, Standards development
Overlay control in advanced integrated circuit (IC) manufacturing is becoming one of the leading lithographic challenges
in the 3x and 2x nm process nodes. Production overlay control can no longer meet the stringent emerging requirements
based on linear composite wafer and field models with sampling of 10 to 20 fields and 4 to 5 sites per field, which was
the industry standard for many years. Methods that have emerged include overlay metrology in many or all fields,
including the high order field model method called high order control (HOC), and field by field control (FxFc) methods
also called correction per exposure. The HOC and FxFc methods were initially introduced as relatively infrequent
scanner qualification activities meant to supplement linear production schemes. More recently, however, it is clear that
production control is also requiring intense sampling, similar high order and FxFc methods. The added control benefits
of high order and FxFc overlay methods need to be balanced with the increased metrology requirements, however,
without putting material at risk. Of critical importance is the proper control of edge fields, which requires intensive
sampling in order to minimize signatures. In this study we compare various methods of overlay control including the
performance levels that can be achieved.
It is known that different overlay mark designs will have different responses to process setup conditions. An overlay
mark optimized for the 45nm technology node might not be suitable for wafers using 30nm or 20nm process
technologies due to changes in lithography and process conditions. As overlay control specifications become tighter and
tighter, the process engineer requires metrics beyond precision, tool-induced shift (TIS) and TIS variability to determine
the optimal target design. In this paper, the authors demonstrate a novel, comprehensive methodology which employs
source of variance (SOV) to help engineers select the best overlay marks to meet overlay control requirements.
In order to ensure long-term profitability, driving the operational costs down and improving the yield of a DRAM
manufacturing process are continuous efforts. This includes optimal utilization of the capital equipment. The costs of
metrology needed to ensure yield are contributing to the overall costs. As the shrinking of device dimensions continues,
the costs of metrology are increasing because of the associated tightening of the on-product specifications requiring more
metrology effort.
The cost-of-ownership reduction is tackled by increasing the throughput and availability of metrology systems.
However, this is not the only way to reduce metrology effort. In this paper, we discuss how the costs of metrology can
be improved by optimizing the recipes in terms of the sampling layout, thereby eliminating metrology that does not
contribute to yield.
We discuss results of sampling scheme optimization for on-product overlay control of two DRAM manufacturing
processes at Nanya Technology Corporation. For a 6x DRAM production process, we show that the reduction of
metrology waste can be as high as 27% and overlay can be improved by 36%, comparing with a baseline sampling
scheme. For a 4x DRAM process, having tighter overlay specs, a gain of ca. 0.5nm on-product overlay could be
achieved, without increasing the metrology effort relative to the original sampling plan.
Double patterning technology is capable of extending usability of immersion ArF systems for 32nm half-pitch
node and below. However, overlay errors between the two patterning steps will directly contribute to critical
dimension variation in a dual litho-etch process. The overlay errors need to be reduced significantly to meet the tight
critical dimension uniformity requirement in the technology nodes. The present scanners are able to correct intra- and
inter-field overlay errors that include not only linear terms but also certain higher-order terms. As a result, a 3nm
overlay requirement for DPT becomes feasible by applying the most advanced correction schemes. Overlay modeling
with a larger number of sample fields will give a more accurate estimate of the model parameters and will therefore
improve the overlay corrections; however, metrology time will increase simultaneously. To balance the correction
accuracy and metrology time, the number of fields and its layout on the wafer must be optimized. This also applies to
wafer alignment, one of the other factors that determine the overlay performance. A bad alignment sampling scheme
will cause a poor overlay performance in the end. Increasing the number of sample fields can improve the alignment
performance but wafer throughput will be impacted immediately.
Performance of the intra-field correction is dependent on number and distribution of the markers within an
exposure field. Correction per field, for instance, is one of the most effective correction schemes. However, it needs to
measure extra markers in each field for overlay modeling especially when including high-order terms. To limit the
chip area occupied by the markers and the metrology time, it is necessary to well control the number of the markers.
Moreover, accuracy of the overlay models is sensitive to layout of the markers. The overlay marker layout hence
needs to be optimized to gain a robust correction with a minimum number of markers.
In this paper, firstly we developed various geometry-based sampling methods for both alignment and overlay
corrections to evaluate correction robustness while keeping the number of sample fields as small as possible. The
results show that modeling with a limited number of fields can adequately describe a full-wafer alignment/overlay
signature and the errors can be well corrected accordingly. A hybrid sampling approach was then proposed taking into
consideration the spatial coverage (geometry-based) as well as the overlay signature of the fields. To improve the
intra-field correction, an algorithm to assist in designing the layout of the overlay markers on a mask was developed.
The most effective marker layouts with the least number of markers were suggested for different correction schemes.
Using the most effective correction scheme as well as the proposed optimization techniques, the overlay performance
can be improved to meet the overlay requirement of the 32nm DPT.
Overlay requirements for semiconductor devices are getting more demanding as the design rule shrinks.
According to ITRS expectation[1], on product overlay budget is less than 8nm for the DRAM 40nm
technology node. In order to meet this requirement, all overlay error sources have to be analyzed and
controlled which include systematic, random, even intrafield high order errors. In this paper, we studied the
possibility of achieving <7nm overlay control in mass production by using CPE, Correction Per Exposure
mode, and Intra-field high order correction (i-HOPC). CPE is one of the functions in GridMapper package,
which is a method to apply correction for each exposure to compensate both systematic and random overlay
errors. If the intra-field overlay shows a non-linear fingerprint, e.g. due to either wafer processing or reticle
pattern placement errors, the intra-field High Order Process Correction(iHOPC) provided by ASML can be
used to compensate for this error . We performed the experiments on an immersion tool which has the
GridMapper functionality. In our experiment, the previous layer was exposed on a dry machine. The wet to dry
matching represent a more realistic scanner usage in the fab enviroment. Thus, the results contained the
additional contribution of immersion-to-dry matched machine overlay. Our test result shows that the overlay
can be improved by 70%, and the mean+3sigma of full wafer measurement can achieve near the range of 6 to
5nm. In this paper we also discuss the capability of implementation of CPE in the mass production
environment since CPE requires additional wafer mearurement to create the proper overlay correction.
High-transmittance phase shift mask (HTPSM) and high numerical aperture (NA) imaging with polarized illumination have been proposed as one of the solutions of the 65nm technology node and beyond. Both aerial image simulations and experimental exposure results confirm the advantages of the polarized illumination for high NA imaging. However, influence of transmission rate of the PSM status upon imaging performance had not yet been fully investigated. Consequently, the influence of different transmission rate PSM with polarized illumination upon imaging performance including depth of focus (DOF), exposure latitude (EL) and line edge roughness (LER) has been researched in this study. Simulation of normalized intensity log slope (NILS) vs. mask transmission rate for the through pitch line space patterns compared with experimental data are clearly showed. Masks of various transmission rates from 6%~30% have been designed. The print images had been investigated with and without polarized illuminations of 193nm high NA tool. According to the experimental and simulation results, the high transmission rate 15% PSM certainly could enhance resolution for 50nm node and beyond.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.