Advances in memory technologies, novel compute architectures, artificial intelligence, quantum computing, robotic automation, and cloud technologies are poised to further accelerate discovery, drive profound transformation, and help enable a sustainable future. However, can these same technologies allow the semiconductor industry to address its own key sustainability challenges to ensure a sustainable future for computing? How close is the semiconductor industry to enabling a new virtuous cycle of accelerated discovery and sustainable computing?
To discuss the needs, opportunities, and progress, this talk will review some of our recent efforts to tackle a sustainability challenge facing a very important class of chemicals used in the production of computing devices, photoacid generators (PAGs). PAGs are a critical component of the chemically amplified photoresist materials used in modern semiconductor lithography. Improvements in photoresist materials (and PAGs) helped drive the last 30 years of semiconductor device scaling. Unfortunately, this legacy is at risk as onium-based photoacid generators are one of several classes of chemicals that have recently come under additional scrutiny from global environmental regulators for their potential environmental risks. Can emerging compute technologies accelerate the discovery of new photoacid generators with improved sustainability attributes?
The looming industry transition towards EUV for high-volume manufacture of semiconductors has demonstrated the need for high sensitivity resists capable of delivering the resolution enhancements offered by the 13.5 nm platform. Inorganic and organometallic based resists have demonstrated themselves viable alternatives to traditional chemically amplified (CA) photoresists, as the EUV absorptivity enhancement of metal nuclei can enable efficient reactivity at minimal photon doses. Despite the demand for EUV photoreactive materials, relatively little has been reported on the fundamental reactivity of inorganic and organometallic compounds towards EUV that may enable the rational design of metal-based resists.
To facilitate the design of next-generation metal-based resists, we have evaluated the reactivity of well-known metal-based model photosystems that undergo ligand-to-metal charge-transfer (LMCT), metal-to-ligand charge-transfer (MLCT), outer-sphere charge-transfer (OSCT), and ligand field (LF) based photochemistry in the UV and visible towards EUV and 100 KeV e-beam, with product characterization carried out by infrared, Raman, and UV-Vis spectroscopies. We will report the findings of these studies, emphasizing the relationships between quantum yields in the UV-Vis and EUV, role of the EUV absorption cross-section of the central metal, and trends in reaction classes and their relative sensitivity towards EUV.
In this study, the integrity and the benefits of the DSA shrink process were verified through a via-chain test structure, which was fabricated by either DSA or baseline litho/etch process for via layer formation while metal layer processes remain the same. The nearest distance between the vias in this test structure is below 60nm, therefore, the following process components were included: 1) lamella-forming BCP for forming self-aligned via (SAV), 2) EUV printed guiding pattern, and 3) PS-philic sidewall. The local CDU (LCDU) of minor axis was improved by 30% after DSA shrink process. We compared two DSA Via shrink processes and a DSA_Control process, in which guiding patterns (GP) were directly transferred to the bottom OPL without DSA shrink. The DSA_Control apparently resulted in larger CD, thus, showed much higher open current and shorted the dense via chains. The non-optimized DSA shrink process showed much broader current distribution than the improved DSA shrink process, which we attributed to distortion and dislocation of the vias and ineffective SAV. Furthermore, preliminary defectivity study of our latest DSA process showed that the primary defect mode is likely to be etch-related. The challenges, strategies applied to improve local CD uniformity and electrical current distribution, and potential adjustments were also discussed.
The progress of three potential DSA applications, i.e. fin formation, via shrink, and pillars, were reviewed in this paper. For fin application, in addition to pattern quality, other important considerations such as customization and design flexibility were discussed. An electrical viachain study verified the DSA rectification effect on CD distribution by showing a tighter current distribution compared to that derived from the guiding pattern direct transfer without using DSA. Finally, a structural demonstration of pillar formation highlights the importance of pattern transfer in retaining both the CD and local CDU improvement from DSA. The learning from these three case studies can provide perspectives that may not have been considered thoroughly in the past. By including more important elements during DSA process development, the DSA maturity can be further advanced and move DSA closer to HVM adoption.
We report a systematic study of the feasibility of using directed self-assembly (DSA) in real product design for 7-nm fin field effect transistor (FinFET) technology. We illustrate a design technology co-optimization (DTCO) methodology and two test cases applying both line/space type and via/cut type DSA processes. We cover the parts of DSA process flow and critical design constructs as well as a full chip capable computational lithography framework for DSA. By co-optimizing all process flow and product design constructs in a holistic way using a computational DTCO flow, we point out the feasibility of manufacturing using DSA in an advanced FinFET technology node and highlight the issues in the whole DSA ecosystem before we insert DSA into manufacturing.
Directed self-assembly (DSA) of block copolymers (BCPs) has become a promising patterning technique for 7nm node hole shrink process due to its material-controlled CD uniformity and process simplicity.[1] For such application, cylinder-forming BCP system has been extensively investigated compared to its counterpart, lamella-forming system, mainly because cylindrical BCPs will form multiple vias in non-circular guiding patterns (GPs), which is considered to be closer to technological needs.[2-5] This technological need to generate multiple DSA domains in a bar-shape GP originated from the resolution limit of lithography, i.e. those vias placed too close to each other will merge and short the circuit. In practice, multiple patterning and self-aligned via (SAV) processes have been implemented in semiconductor manufacturing to address this resolution issue.[6] The former approach separates one pattern layer with unresolvable dense features into several layers with resolvable features, while the latter approach simply utilizes the superposition of via bars and the pre-defined metal trench patterns in a thin hard mask layer to resolve individual vias, as illustrated in Fig 1 (upper). With proper design, using DSA to generate via bars with the SAV process could provide another approach to address the resolution issue.
In recent years major advancements have been made in the directed self-assembly (DSA) of block copolymers (BCP). DSA is now widely regarded as a leading complementary patterning technique for future node integrated circuit (IC) device manufacturing and is considered for the 7 nm node. One of the most straightforward approaches for implementation of DSA is via patterning by graphoepitaxy. In this approach, the guiding pattern dictates the location and pitch of the resulting hole structures while the material properties of the BCP control the feature size and uniformity. Tight pitches need to be available for a successful implementation of DSA for future node via patterning which requires DSA in small guiding pattern CDs. Here, we show strategies how to enable the desired CD shrink in these small guiding pattern vias by utilizing high χ block copolymers and/or controlling the surface properties of the template, i.e. sidewall and bottom affinity to the blocks.
Directed self-assembly (DSA) with block-copolymers (BCP) is a promising lithography extension technique to scale below 30nm pitch with 193i lithography. Continued scaling toward 20nm pitch or below will require material system improvements from PS-b-PMMA. Pattern quality for DSA features, such as line edge roughness (LER), line width roughness (LWR), size uniformity, and placement, is key to DSA manufacturability. In this work, we demonstrate finFET devices fabricated with DSA-patterned fins and compare several BCP systems for continued pitch scaling. Organic-organic high chi BCPs at 24nm and 21nm pitches show improved low to mid-frequency LER/LWR after pattern transfer.
Several 27nm-pitch directed self-assembly (DSA) processes targeting fin formation for FinFET device fabrication are studied in a 300mm pilot line environment, including chemoepitaxy for a conventional Fin arrays, graphoepitaxy for a customization approach and a hybrid approach for self-aligned Fin cut. The trade-off between each DSA flow is discussed in terms of placement error, Fin CD/profile uniformity, and restricted design. Challenges in pattern transfer are observed and process optimization are discussed. Finally, silicon Fins with 100nm depth and on-target CD using different DSA options with either lithographic or self-aligned customization approach are demonstrated.
Block-copolymers (BCPs) offer the potential to meet the demands of next generation lithographic materials as they can self-assemble into scalable and tailorable nanometer scale patterns. In order for these materials to find wide spread adoption many challenges remain, including reproducible thin film morphology, for which the purity of block copolymers is critical. One of the sources of impurities are reaction conditions used to synthesize block copolymers that may result in the formation of homopolymer as a side product, which can impact the quality and the morphology of self-assembled features. Detection and characterization of these homopolymer impurities can be challenging by traditional methods of polymer characterization. We will discuss an alternate NMR-based method for the detection of homopolymer impurities in block copolymers – contrast enhanced diffusion ordered spectroscopy (CEDOSY). This experimental technique measures the diffusion coefficient of polymeric materials in the solution allowing for the ‘virtual’ or spectroscopic separation of BCPs that contain homopolymer impurities. Furthermore, the contrast between the diffusion coefficient of mixtures containing BCPs and homopolymer impurities can be enhanced by taking advantage of the chemical mismatch of the two blocks to effectively increase the size of the BCP (and diffusion coefficient) through the formation of micelles using a cosolvent, while the size and diffusion coefficient of homopolymer impurities remain unchanged. This enables the spectroscopic separation of even small amounts of homopolymer impurities that are similar in size to BCPs. Herein, we present the results using the CEDOSY technique with both first generation BCP system, poly(styrene)-b-poly(methyl methacrylate), as well as a second generation high-χ system.
To extend scaling beyond poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for directed self-assembly (DSA), high quality organic high-x block copolymers (HC series) were developed and applied to implementation of sub-10 nm L/S DSA. Lamellae-forming block copolymers (BCPs) of the HC series showed the ability to form vertically oriented polymer domains conveniently with the in-house PS-r-PMMA underlayers (AZEMBLY EXP NLD series) without the use of an additional topcoat. The orientation control was achieved with low bake temperatures (≤200 °C) and short bake times (≤5 min). Also, these process-friendly materials are compatible with existing 193i-based graphoepitaxy and chemoepitaxy DSA schemes. In addition, it is notable that 8.5 nm organic lamellae domains were amenable to pattern development by simple dry etch techniques. These successful demonstrations of high-x L/S DSA on 193i-defined guiding patterns and pattern development can offer a feasible route to access sub-10 nm node patterning technology.
A viable pattern customization strategy is a critical to continue fin pitch scaling. Analysis shows that a self-aligned customization scheme will be required for fin pitch scaling beyond 20nm. In this paper, we explore scaling of the Tone-Inverted Grapho-Epitaxy technique with 24nm pitch PS-b-PMMA polymer to create groups of fins with self-aligned spaces in between. We discuss material selection, self-aligned customization, and etch processes to form 24-nm-pitch fins on silicon on insulator substrates. We demonstrate two-dimensional pattern customization at 24nm pitch, confirming scalability of this approach. FinFET device integration results at both 28 and 24 nm pitches shows a promising path for continued fin pitch scaling.
KEYWORDS: Picosecond phenomena, Polymethylmethacrylate, System on a chip, Scanning electron microscopy, Image segmentation, Photomasks, Etching, Electron beam lithography, Composites, Directed self assembly
Diminishing error tolerance renders the customization of patterns created through directed self-assembly (DSA) extremely challenging at tighter pitch. A self-aligned customization scheme can be achieved using a hybrid prepattern comprising both organic and inorganic regions that serves as a guiding prepattern to direct the self-assembly of the block copolymers as well as a cut mask pattern for the DSA arrays aligned to it. In this paper, chemoepitaxy-based self-aligned customization is demonstrated using two types of organic-inorganic prepatterns. CHEETAH prepattern for “CHemoepitaxy Etch Trim using a self-Aligned Hardmask” of preferential hydrogen silsesquioxane (HSQ, inorganic resist), non-preferential organic underlayer is fabricated using electron beam lithography. Customized trench or hole arrays can be achieved through co-transfer of DSA-formed arrays and CHEETAH prepattern. Herein, we also introduce a tone-reversed version called reverse-CHEETAH (or rCHEETAH) in which customized line segments can be achieved through co-transfer of DSA-formed arrays formed on a prepattern wherein the inorganic HSQ regions are nonpreferential and the organic regions are PMMA preferential. Examples of two-dimensional self-aligned customization including 25nm pitch fin structures and an 8-bar “IBM” illustrate the versatility of this customization scheme using rCHEETAH.
Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.
A study on the optimization of etch transfer processes using 200-mm-scale production type plasma etch tools for circuit relevant patterning in the sub-30-nm pitch regime using directed self-assembly (DSA) line–space patterning is presented. This work focuses on etch stack selection and process tuning, such as plasma power, chuck temperature, and end point strategy, to improve critical dimension control, pattern fidelity, and process window. Results from DSA patterning of gate structures featuring a high-k dielectric, a metal nitride and poly Si gate electrode, and a SiN capping layer are also presented. These results further establish the viability of DSA pattern generation as a potential method for Complementary metal–oxide–semiconductor (CMOS) integrated circuit patterning beyond the 10-nm node.
Resist materials rely on solubility differences between the exposed and unexposed areas to create the
desired image. Most negative-tone resists achieve the solubility difference by crosslinking the exposed area
causing it to be insoluble in developer. The negative tone resist studied here is a high sensitivity negativetone
resist that relies on polarity switching, similar to a positive-tone mechanism, but where the exposed
area is insoluble in aqueous developer resulting in a negative-tone image. During mask evaluation for 14nm
optical technology applications of the studied non-cross linking (polarity switching) resist, 1 - 5 μm size
blob-like defects were found in large numbers under certain exposure conditions. This paper will describe
the process and methodologies used to investigate these blob defects.
We present a study on the optimization of etch transfer processes for circuit relevant patterning in the sub 30 nm pitch regime using directed self assembly (DSA) line-space patterning. This work is focused on issues that impact the patterning of thin silicon fins and gate stack materials. Plasma power, chuck temperature and end point strategy is discussed in terms of their effect on critical dimension (CD) control and pattern fidelity. A systematic study of post-plasma etch annealing processes shows that both CD and line edge roughness (LER) in crystalline Si features can be further reduced while maintaining a suitable geometry for scaled FinFET devices. Results from DSA patterning of gate structures featuring a high-k dielectric, a metal nitride and poly Si gate electrode and a SiN capping layer are also presented. We conclude with the presentation of a strategy for realizing circuit patterns from groups of DSA patterned fins. These combined results further establish the viability of DSA pattern generation as a potential method for CMOS integrated circuit patterning beyond the 10 nm node.
Pattern customization is a necessary requirement to achieve circuit-relevant patterns using block copolymer directed
self-assembly (DSA), but the edge-placement error associated with customization steps after DSA is anticipated to be at
the scale of the pattern features, particularly as a result of overlay error. Here we present a new self-aligned approach to
the customization of line-space patterns fabricated through chemical epitaxy. A partially inorganic chemical pattern
contains a prepattern with pinning lines and non-guiding “blockout” features to which the block copolymer domains are
aligned. Pattern transfer results in a line-space pattern with self-aligned customizations directly determined by the
prepattern. In the transferred pattern, pinning lines determine the placement of single-line gaps while blockout features
determine the placement and size of perpendicular trim across lines. By using designed two-dimensional chemical
patterns, this self-aligned, bidirectional customization scheme enables the fabrication of high-resolution circuit-relevant patterns with fewer trim/exposure steps.
The main concern for the commercialization of directed self-assembly (DSA) for semiconductor manufacturing
continues to be the uncertainty in capability and control of defect density. Our research investigates the defect densities
of various DSA process applications in the context of a 300mm wafer fab cleanroom environment; this paper expands
substantially on the previously published DSA defectivity study by reporting a defect density process window relative
to chemical epitaxial pre-pattern registration lines; as well as investigated DSA based contact hole shrinking and report
critical dimension statistics for the phase separated polymers before and after etch, along with positional accuracy
measurements and missing via defect density.
Extensive pattern customization will be necessary to realize viable circuit patterns from line-space arrays generated by
block copolymer directed self assembly (DSA). In pattern customization with regard to chemical epitaxy of lamellar
block copolymers, quantitative and precise knowledge of DSA-feature registration to the chemical prepattern is critical.
Here we measure DSA pattern placement error for spatial frequency tripling and quadrupling indexed to specific lines in
the chemical prepattern. A range of prepattern line widths where minimal DSA placement error can be expected is
identified, and a positive correlation between DSA placement accuracy and prepattern uniformity is shown. Considering
the experimental non-idealities present in the chemical prepatterns used in this work that arise from using electron-beam
lithography, we anticipate that 3σ DSA placement errors will be at a minimal level if highly uniform chemical
prepatterns produced by optical lithography are used.
Directed self-assembly is an emerging technology that to-date has been primarily driven by research efforts in university
and corporate laboratory environments. Through these environments, we have seen many promising demonstrations of
forming self-assembled structures with small half pitch (<15 nm), registration control, and various device-oriented
shapes. Now, the attention turns to integrating these capabilities into a 300mm pilot fab, which can study directed selfassembly
in the context of a semiconductor fabrication environment and equipment set. The primary aim of this study is
to create a 300mm baseline process of record using a 12nm half-pitch PS-b-PMMA lamellae block copolymer in order to
establish an initial measurement of the defect density due to inherent polymer phase separation defects such as
dislocations and disclinations.
We survey several different approaches wherein self-assembly has been applied in lithographic patterning. As part of
this survey, we trace the evolution of block copolymer directed
self-assembly used as lithographic technique, and
summarize its current status. We compare a process based on block copolymer lithography with an equivalent process
based on spacer pitch division. We conclude with a brief discussion of design issues and future research in the field.
Fluoroalcohol-containing materials have found considerable use in 193 nm immersion topcoat and topcoat-free
immersion resist materials due to their good water contact angles and base-dissolution properties.
Trifluoromethanesulfonamide-containing materials are another alternative which have been explored for use in 193 nm
photoresist and immersion topcoat applications; however, fluorosulfonamide materials have suffered from issues such as
low water contact angles. In this paper, we report the synthesis of a series of fluorosulfonamide-containing methacrylate materials with water contact angle and base dissolution performance that rivals or exceeds that of comparable fluoroalcohol-based materials.
In this paper, we employ the self-segregating materials approach used in topcoat-free resists for water immersion lithography to extend the performance of topcoat materials for water immersion and to increase the contact angles of organic fluids on topcoat-free resists for high index immersion lithography. By tailoring polymers that segregate to the air and resist interfaces of the topcoat, high contact angle topcoats with relatively low fluorine content are achieved. While graded topcoats may extend the performance and/or reduce the cost of topcoat materials, the large amount of unprotected acidic groups necessary for TMAH development prevent them from achieving the high contact angles and low hysteresis exhibited by topcoat-free resists. Another application of this self-segregating approach is tailoring resist surfaces for high index immersion. Due to the low surface tension and higher viscosities of organic fluids relative to water and their lower contact angles on most surfaces, film pulling cannot be prevented without dramatically reducing wafer scan rates; however, tuning the surface energy of the resist may be important to control stain morphology and facilitate fluid removal from the wafer. By tailoring fluoropolymer additives for high contact angles with second generation organic high index immersion fluids, we show herein that topcoat-free resists can be developed specifically for high index immersion lithography with good contact angles and lithographic imaging performance.
This paper will investigate the potential benefits and limitations of increasing the refractive index of the photoresist for water and high-index immersion based lithography. The primary potential benefits are increased exposure latitude due to restoration of the TM polarization component and improved depth of focus due to a delay in the onset of image-induced top-loss. After first understanding the physical origins of these effects, a series of simulation studies will probe the level of impact they may have for the 32nm and 22nm technology nodes. It is concluded that, although they may provide some process latitude relief, the benefits are minimal for 1.35NA water immersion, especially when weighed against the likely required development effort and cost. The benefits are slightly more compelling for high-index immersion (>1.5 NA), but a high index resist does not appear to be critical, provided the resist is at least as large as the immersion fluid index. A comparable benefit can be achieved with a conventional resist by using polarized illumination (a trend already happening for various reasons) and thinning the resist by ~9% for 1.35NA water immersion and ~15% for 1.55NA high-index immersion. Additionally, increasing the refractive index is typically accompanied by a corresponding increase in absorption. This will be addressed, concluding the limitations of absorption are likely chemical and not optical in nature. High absorption is likely tolerable, provided the chemistry can be engineered to account for exponential intensity decay. The level of difficulty in doing so is addressed.
Immersion lithography for the 32nm node and beyond requires advanced methods to control 193 nm radiation
reflected at the resist/BARC interface, due to the high incident angles that are verified under high numerical aperture
(NA) imaging conditions. Swing curve effects are exacerbated in the high NA regime, especially when highly reflective
substrates are used, and lead to critical dimension (CD) control problems. BARC reflectivity control is also particularly
critical when underlying surface topography is present in buried layers due to potential reflective notching problems. In
this work, a graded spin-on organic BARC was developed to enable appropriate reflectivity control under those
conditions. The graded BARC consists of two optically distinct polymers that are completely miscible in the casting
solution. Upon film coating and post-apply baking, the two polymers vertically phase-separate to form an optically
graded layer. Different characterization techniques have been applied to the study of the distribution of graded BARC
components to reveal the internal and surface composition of the optically graded film, which includes Variable Angle
Spectroscopic Ellipsometry (VASE) and Secondary Ion Mass Spectroscopy (SIMS). Also, optical constant optimization,
substrate compatibility, patterning defectivity and etch feasibility for graded BARC layers are described. Superior 193
nm lithographic performance and reflectivity control of graded BARC beyond 1.20 NA compared to conventional
BARCs is also demonstrated.
Directed polymer self-assembly which combines lithographically defined substrates and self-assembled polymers has
been considered as a potential candidate to extend conventional patterning techniques. In the past few years, successful
demonstration of directed self-assembly of block copolymer shows that this method can afford sub-lithographic
resolution or enhances dimensional control. However, integration of polymer self-assembly into standard lithographic
processes remains a challenge and requires new materials. In this paper, we demonstrate robust and thermally crosslinked
underlayer materials which control the orientation of block copolymer assemblies and are compatible with
standard lithographic processes. These new materials allow simple integration of perpendicularly oriented polystyrene-b-
polymethylmethacrylate (PS-b-PMMA) domains into standard manufacturing processes.
Immersion lithography has placed a number of additional performance criteria on already stressed resist materials.
Much work over the past few years has shown that controlling the water-resist interface is critical to enabling high scan
rates (i.e. throughput) while minimizing film pulling and PAG extraction (i.e. defectivity). Protective topcoat polymers
were developed to control the aforementioned interfacial properties and emerged as key enablers of 193 nm immersion
lithography. Achieving the delicate balance between the low surface energies required for high water contact angles
(generally achieved via the incorporation of fluorinated groups) and the base solubility required for topcoat removal is
challenging. More recently, additional strategies using fluoropolymer materials to control the water-resist interface have
been developed to afford topcoat-free resist systems. In our explorations of fluoroalcohol-based topcoat materials, we
have discovered a number of structure-property relationships of which advantage can be taken to tailor the interfacial
properties of these fluorinated materials. This paper will address the effect of structure on immersion specific properties
such as water contact angle, aqueous base contact angle, and dissolution rate.
Surface properties of materials are an important, even vital, consideration in immersion lithography. Many
investigations have shown that sessile drop static contact angles are not entirely useful in the design of high performance
immersion lithography surfaces. We have synthesized and characterized a broad family of "hydrophobic" materials and
this paper will share information on the role of molecular structure on contact angles and hysteresis of these immersion
materials. Insight into the relationship between structure and contact angles for future immersion materials will be
presented.
Fluorocarbon polymers and siloxane-based polymers have been identified as promising resist candidates for 157 nm material design because of their relatively high transparency at this wavelength. This paper reports our recent progress toward developing 157 nm resist materials based on the first of these two polymer systems. In addition to the 2-hydroxyhexafluoropropyl group, (alpha) -trifluoromethyl carboxylic acids have been identified as surprisingly transparent acidic functional groups. Polymers based on these groups have been prepared and preliminary imaging studies at 157 nm are described. 2-Trifluoromethyl-bicyclo[2,2,1] heptane-2-carboxylic acid methyl ester derived from methyl 2-(trifluoromethyl)acrylate was also prepared and gas-phase VUV measurements showed substantially improved transparency over norbornane. This appears to be a general characteristic of norbornane-bearing geminal electron-withdrawing substituents on the 2 carbon bridge. Unfortunately, neither the NiII nor PdII catalysts polymerize these transparent norbornene monomers by vinyl addition. However, several new approaches to incorporating these transparent monomers into functional polymers have been investigated. The first involved the synthesis of tricyclononene (TCN) monomers that move the bulky electron withdrawing groups further away from the site of addition. The hydrogenated geminally substituted TCN monomer still has far better transparency at 157 nm than norbornane. The second approach involved copolymerizing the norbornene monomers with carbon monoxide. The third approach involved free-radical polymerization of norbornene monomers with tetrafluoroethylene and/or other electron-deficient comonomers. All these approaches provided new materials with encouraging absorbance at 157 nm. The lithographic performance of some of these polymers is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.