Advanced semiconductor devices are moving toward three-dimensional (3D) geometries due to scaling demands and performance requirements. Non-destructive metrology necessary for process control of 3D structures must be advanced to facilitate their transition from technology development to high-volume manufacturing. Thin film metrology using Mueller matrix spectroscopic ellipsometry (MMSE) and X-ray diffraction (XRD) film metrology, as well as patterned structure metrology using optical critical dimension (OCD) and X-ray fluorescence (XRF) techniques, have proved capable of measuring the Si/Si1−xGex superlattices and gate-all-around transistor test structures. Because these techniques are indirect, their limitations associated with superlattice device structures need to be further understood. To understand these limitations, a four-superlattice layer Si/Si1−xGex structure was measured at four process steps: as an unpatterned film stack, after anisotropic column etch, and at low and high levels of cavity etch. Thin film samples were analyzed with XRD and MMSE, and patterned samples were analyzed using OCD, as well as XRF. A model was developed describing the primary and secondary process effects on the structure. This was evaluated for consistency on datasets collected at different measurement azimuth angles. Square error–based methods were evaluated to quantify OCD model detectability of fit variable step deviations, as well as sensitivity relative to the model to measurement error. OCD and XRF results were compared with reference scanning transmission electron microscopy (STEM) images of nanowire test structure lamellae. Dual-azimuth fit OCD models were found to be within 0.3 nm of the STEM reference mean.
Next-generation space telescopes under development by NASA will require high efficiency and resolving power soft x-ray spectrometers which can provide an order of magnitude increased performance compared to present space instrumentation. For the past decade the MIT Space Nanotechnology Lab has developed new x-ray spectrometer concepts based on so-called critical-angle transmission (CAT) gratings which exploit the principle of efficient x-ray reflection below the critical angle for total external reflection. These devices require challenging high-aspect ratio (HAR) “nano mirror” grating patterns with well controlled bar tilt angle and sub-nm surface smoothness. Gratings are patterned with precision (110) SOI wafers using 193 nm lithography and etched using a Bosch process. In this paper we present the first results of applying Mueller Matrix OCD mapping to our silicon HAR device geometry and compare with small angle x-ray scattering results.
As scaling in semiconductor devices continues, the aspect ratios of deep trench isolation (DTI) structures have increased. DTI structures are used in power devices, power management ICs and image sensors as a method to isolate active devices by reducing crosstalk, parasitic capacitance, latch-up and allowing for an increase breakdown voltage of active devices. Measurement of these structures in high volume manufacturing (HVM), with non-destructive technology, has mostly been limited to the depth and top width of the DTI structure, while the bottom width (BCD) has not been able to be reliably measured. Here we present two different optical metrologies, “conventional” OCD and IRCD, that operate in the UV-VIS-NIR and MIR region of the electromagnetic spectrum, respectively, and discuss the measurability of DTI sidewall profile, bottom width, and depth in BCD (Bipolar CMOS DMOS) power management IC devices for each method at various pitches and line to space ratios. Experimental data will be presented showing sensitivity and discrimination of IRCD to a DOE specifically on the bottom width for three different structures.
Advanced semiconductor devices are moving toward 3D geometries due to scaling demands and performance requirements. The non-destructive metrology necessary for process control for high volume manufacturing of 3D structures must be advanced to facilitate their transition from technology development to high volume manufacturing. Optical Critical Dimensions (OCD) techniques based on Mueller Matrix Scatterometry (MMSE) as well as XxRay Diffraction (XRD) have proved capable of measuring the Si/Si1-xGex few layer superlattices used to fabricate Gate All Around (GAA) transistor device test structures. The limitations of these techniques associated with superlattice device structures needed to be further understood. To understand the limits of MMSE Scatterometry and XRD, a four superlattice layer Si/Si1-xGex structure was measured as a film stack, a column etched sample, and at two levels of cavity etch. XRD was used to determine individual layer thicknesses and compositions of the film stack, and electron microscope data was used to verify initial structure dimensions for OCD models. First, film stack characterization and metrology for both ellipsometry and x-ray characterization were explored. OCD modeling and measurement strategies were evaluated to address the challenge of fitting the superlattice thicknesses in the stack at different etch levels. We demonstrate the characterization of relevant test structure dimensions, including cavity etch using MMSE-Scatterometry. We also demonstrate an alternate technique, x-ray fluorescence for determining cavity etch on the same structures.
The W-Recess step for 3D NAND replacement gate process currently has no in-line process control solution. W replacement renders the structure opaque in the ultraviolet/visible/near-infrared (UV/VIS/NIR) region beyond just a few tier layers in the most advanced 3D NAND devices. Additionally, increased word line (WL) slit pitch scaling further reduces the already minimal optical signal from the top of the structure. Through finite-difference time-domain (FDTD) and optical critical dimension (OCD) simulations, we show that a specially designed, design rule-compliant (that is, possessing a slit pitch matching the device) ellipsometry target permits mid-IR light to completely penetrate through oxide metal (OM) pairs, enabling measurement of the W-Recess Z-profile. Furthermore, recent experimental data measured on the designed target in >200 pair 3D NAND node prove that mid-IR light has sensitivity to the slit bottom. An OCD model was developed and showed good design of experiment (DOE) discrimination capability and reference correlation.
Here, we explore the application of Mueller Matrix Spectroscopic Ellipsometry (MMSE) based scatterometry to determining the shape and dimensions of vertical nanowire gate-all-around (GAA) transistors. These transistors could be used in memory applications, like 4F2 3D NAND. The simulated sensitivity of MMSE based scatterometry to changes in the geometry of GAA transistor test structures was characterized at a critical etch back process step to define gate length. MMSE scatterometry simulations were done using rigorous coupled wave analysis (RCWA). We also searched for the optimum azimuthal measurement angle that increases the information available in off-diagonal Mueller matrix elements. A correlation study was done between the etch back height and nanowire diameter critical dimensions to determine if the Mueller matrix response could be isolated to changes in one parameter. The vertical GAA structure was shown to have an isotropic MMSE response at azimuthal measurement angles of 0, 45, and 90 degrees. The simulations show that MMSE scatterometry is sensitive to changes in the structure such as etch back thickness and diameter of the nanowire. These two parameters gave unique Mueller matrix responses, which can be monitored individually. In this simulation study, the nanowire structure was shown to have a differentiable Mueller matrix response for changes to key parameters.
Optically opaque materials present a series of challenges for alignment and overlay in the lithography process flow. We demonstrate the efficacy of picosecond acoustic microscopy (PAM) in generating 2D lateral images of structures embedded under opaque layers, including the potential of PAM to generate 3D images by analyzing scanned z planes.
A unique challenge has emerged in the Channel Hole process module of advanced 3D NAND manufacturing: control of the lateral silicon nitride recess post Channel Hole etch. A novel mid-infrared critical dimension (IRCD) metrology has been developed on a platform suitable for fab production. Compared traditional optical critical dimension (OCD) technology based on ultraviolet, visible, and near-IR light, the IRCD system exploits unique optical properties of common semiconductor fab materials in the mid-IR to enable accurate measurements of high-aspect-ratio (HAR) etches with high Z dimensional fidelity. Utilizing the mid-IR wavelength range, a robust and unique measurement methodology is demonstrated to measure the lateral silicon nitride recess that occurs post channel hole etch due to etch bias between silicon dioxide and silicon nitride. IRCD metrology is proven to have higher unique sensitivity for lateral silicon nitride recess than other inline non-destructive metrology techniques.
Monitoring the high aspect ratio etch profiles in state-of-the-art three-dimensional NAND memory fabrication processes has pushed metrology technologies to new limits. Here, we discuss how a mid-infrared ellipsometric measurement can yield angstrom level discrimination in critical dimension changes of memory channel hole (CH) profiles across such a memory chip. Using finite-difference time-domain and rigorous coupled-wave analysis simulations, we demonstrate how dispersion mitigated mid-infrared beam penetration into these memory structures permits parameter decorrelation and the measurement of the full CH profile.
Here, we report the measurement of the dielectric spacer etch process for nanowire and nanosheet FET processes. A previously described Nanowire Test Structure (NWTS) was used for this study.[1, 2, 3] This structure has alternating Si/Si1-xGex/…/Si multilayers. Subsequent to the selective etching of the Si1-xGex layers (cavity etch), a silicon nitride (SiN) dielectric layer was deposited on the NWTS. Here we report on the use of Mueller Matrix Spectroscopic Ellipsometry based Scatterometry (MMSE) to measure the thickness of the SiN dielectric layer after deposition and after trim etch steps. Four different amounts of trim etch were characterized.
A novel mid-infrared critical dimension (IRCD) metrology has been developed on a platform suitable for fab production. Compared to traditional optical critical dimension (OCD) technology based on ultraviolet, visible, and near-IR light, the IRCD system exploits unique optical properties of common semiconductor fab materials in the mid-infrared to enable accurate measurements of high-aspect-ratio etched features. In this paper, we will show two examples of critical dry etch steps in 3D NAND channel formation module of an advanced node that require nondestructive process control: (1) channel hole active area etch and (2) amorphous carbon hardmask etch. In the first example, we take advantage of the absorption bands of silicon dioxide and silicon nitride to get accurate CD measurements at different depths, resulting in high-fidelity z-profile metrology of the channel – key to guiding process development and accelerated learning for 3D NAND device manufacturing. In the second example, the most common amorphous carbon hardmask materials for advanced 3D NAND nodes are opaque in the traditional OCD wavelength range; however, in the mid-infrared, there is light penetration and hence spectral sensitivity to dimensional parameters including sub-surface features. We show successful detection of intentional process skews and as well accurate bottom CD measurements of the hardmask.
As the semiconductor nano-electronics industry progresses toward incorporating increasingly lower dielectric constant
materials as the inter layer dielectric (ILD) in Cu interconnect structures, thermo-mechanical reliability is becoming an
increasing concern due to the inherent fragility of these materials. Therefore, the need for metrologies to assess the
mechanical properties and elastic constants of low-k dielectric materials is great. Unfortunately, traditional techniques
such as nano-indentation are being increasingly challenged as target low-k ILD thicknesses decrease below 100 nm for
sub 16 nm technologies. In this light, we demonstrate the applicability of two new techniques, Brillouin Light Scattering and Contact Resonance Atomic Force Microscopy, for the determination of Young’s modulus for low-k dielectric thin films. We show that these techniques yield values that are in agreement with standard nano-indentation measurements and are capable at film thickness on the order of 100 nm or less.
Plasma-induced damage to low-k dielectric materials can be quantified by separation of the effects of charged-particle
bombardment, photon bombardment, and gas-radical flux. For ion and photon bombardment, the spatial location and
extent of the damage can be determined. Damage effects from radical flux will be shown to be small. Both SiCOH and
photo-programmable low-k (PPLK) dielectrics will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.