Overlay metrology setup today faces a continuously changing landscape of process steps. During Diffraction Based Overlay (DBO) metrology setup, many different metrology target designs are evaluated in order to cover the full process window. The standard method for overlay metrology setup consists of single-wafer optimization in which the performance of all available metrology targets is evaluated. Without the availability of external reference data or multiwafer measurements it is hard to predict the metrology accuracy and robustness against process variations which naturally occur from wafer-to-wafer and lot-to-lot. In this paper, the capabilities of the Holistic Metrology Qualification (HMQ) setup flow are outlined, in particular with respect to overlay metrology accuracy and process robustness. The significance of robustness and its impact on overlay measurements is discussed using multiple examples. Measurement differences caused by slight stack variations across the target area, called grating imbalance, are shown to cause significant errors in the overlay calculation in case the recipe and target have not been selected properly. To this point, an overlay sensitivity check on perturbations of the measurement stack is presented for improvement of the overlay metrology setup flow. An extensive analysis on Key Performance Indicators (KPIs) from HMQ recipe optimization is performed on µDBO measurements of product wafers. The key parameters describing the sensitivity to perturbations of the measurement stack are based on an intra-target analysis. Using advanced image analysis, which is only possible for image plane detection of μDBO instead of pupil plane detection of DBO, the process robustness performance of a recipe can be determined. Intra-target analysis can be applied for a wide range of applications, independent of layers and devices.
Requirements for on-product overlay, focus and CD uniformity continue to tighten in order to support the demands of 10nm and 7nm nodes. This results in the need for simultaneously accurate, robust and dense metrology data as input for closed-loop control solutions thereby enabling wafer-level control and high order corrections. In addition the use of opaque materials and stringent design rules drive the need for expansion of the available measurement wavelengths and metrology target design space.
Diffraction based optical metrology has been established as the leading methodology for integrated as well as standalone optical metrology for overlay, focus and CD monitoring and control in state of the art chip manufacturing. We are presenting the new approaches to diffraction based optical metrology designed to meet the ≤10nm node challenges. These approaches have been implemented in the latest addition to the YieldStar metrology platform, the YS350E introducing a new way of acquiring and processing diffraction based metrology signals.
In this paper we will present the new detection principle and its impact on key performance characteristics of overlay and focus measurements. We will also describe the wide range of applications of a newly introduced increased measurement spot size, enabling significant improvements to accuracy and process robustness of overlay and focus measurements.
With the YS350E the optical CD measurement capability is also extended, to 10x10μm2 targets. We will discuss the performance and value of small targets in after-develop and after-etch applications.
Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.
The overlay, CDU and focus requirements for the 20nm node can only be met using a holistic lithography approach whereby full use is made of high-order, field-by-field, scanner correction capabilities. An essential element in this approach is a fast, precise and accurate in-line metrology sensor, capable to measure on product. The capabilities of the metrology sensor as well as the impact on overlay, CD and focus will be shared in this paper.
Improving Critical Dimension Uniformity (CDU) for spacer double patterning features is a high priority for double
patterning technology. In spacer double patterning the gaps between the spacers are established through various
processes (litho, etch, deposition) with different process fingerprints and the CDU improvement of these gaps requires an
improved control solution. Such a control solution is built upon two pillars: metrology and a control strategy.
In this paper Spacer Patterning Technology CDU control using an angle resolved scatterometry tool is evaluated. CD
results obtained with this scatterometer on CDU wafers are measured and the results are correlated with those from the
traditional CD-SEM. CD wafer fingerprints are compared before and after applying the advanced control strategy and
CDU improvements are reported. Based on the results it is concluded that scatterometry qualifies for a spacer process
CDU control loop in a manufacturing environment.
Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements,
application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the
performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm
and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner.
The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering
freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe
generation per user application is based on a combination wafer metrology data and computational lithography methods.
For overlay, focus and CD metrology we use an angle resolved optical scatterometer.
In this paper we discuss a laser focus drilling technique which has recently been developed for advanced
immersion lithography scanners to increase the depth of focus and therefore reduce process variability of contact-hole
patterns. Focus drilling is enabled by operating the lithography light-source at an increased spectral bandwidth, and has
been made possible by new actuators, metrology and control in advanced dual-chamber light-sources. We report wafer
experimental and simulation results, which demonstrate a process window enhancement for targeted device patterns.
The depth of focus can be increased by 50% or more in certain cases with only a modest reduction in exposure latitude,
or contrast, at best focus. Given this tradeoff, the optimum laser focus drilling setting needs to be carefully selected to
achieve the target depth of focus gain at an acceptable contrast, mask error factor and optical proximity behavior over
the range of critical patterning geometries. In this paper, we also discuss metrology and control requirements for the
light-source spectrum in focus drilling mode required for stable imaging and report initial trend monitoring results over
several weeks on a production exposure tool. We additionally simulate the effects of higher-order chromatic aberration
and show that cross-field and pattern-dependent image placement and critical dimension variation are minimally
impacted for a range of focus drilling laser spectra. Finally, we demonstrate the practical process window benefits and
tradeoffs required to select the target focus drilling laser bandwidth set-point and increase effectiveness of the sourcemask
solution for contact patterning.
IC manufacturers have a strong demand for transferring a working process from one scanner to another. In an ideal transfer, a reticle set that produces devices within specification on a certain scanner has the same performance on another exposure tool. In real life, however, reticles employ optical proximity correction (OPC) which incorporates by definition the inherent optical fingerprint of a specific exposure tool and process. In order to avoid the additional cost of developing a new OPC model and acquiring a new reticle for each exposure tool, IC manufacturers therefore wish to 'match' the optical fingerprint of their scanners as closely as possible.
In this paper, we report on the matching strategy that we developed to perform a tool-to-tool matching. We present experimental matching results for several tool combinations at numerical apertures (NA) 0.75, 0.85 and 1.2. Matching of exposure tools is obtained by determining the sensitivities to scanner parameter variations like NA, Sigma, Focus Drilling, Ellipticity and Dose from wafer data and/or simulations. These sensitivities are used to calculate the optimal scanner parameters for matching the two tools.
Here we present both simulation and experimental results that show the effect of changes in laser light source bandwidth
(E95) on CD Iso-Dense Bias. For the 55nm Technology Node Device, we have shown that E95 stability of less than
0.11pm is required in order to maintain OPE variation to within 2nm. In addition, we also verified another method to
adjust for OPE variations that occur when E95 fluctuates. The Contrast Adjustment method is an effective function to
adjust for OPE variation due to E95 fluctuation; it has been shown to maintain OPE variation less than 1.5nm.
Furthermore, for the 45nm Technology Node Device, we have demonstrated that E95 stability of less than 0.07pm is
required to maintain OPE variation to within 1nm. The bandwidth performance of the latest laser light source exhibits
E95 stability less than 0.03 pm, thereby showing that the OPE variation due to E95 can be kept to under 1nm.
Spot sensor Enabled Reticle Uniformity Measurement (SERUM) is a fast and accurate technique
for measuring the CD fingerprint of a reticle. It is an alternative for the traditional SEM or optical
based reticle line width measurements. The reticle fingerprint is obtained by scanning a spot sensor
located on the wafer stage of the ASML Step & Scan system, and measuring the reticle
transmission on actinic wavelength.
Since the reticle is the main contributor to the 65nm CDU budget, Step & Scan system qualification
is only possible when correcting for reticle errors. Especially for scatterometry based measurement
an extensive reticle qualification is required. With the traditional methods it involves measuring
multiple positions within the gratings to average-out the intra-grating variation. This results in an
expensive and time consuming process (5 to 10 hours).
SERUM reticle metrology has several applications. ASML specific Step & Scan system qualification
can be improved in correcting for reticle errors. The reticle can be inspected on actinic wavelength
for changes due to pellicle non-uniformity, transmission losses and contamination. SERUM data
can also be used as input for DoseMapperTM to correct for global reticle line width non-uniformity.
This metrology allows reticle measurement on 182 locations within 70 seconds, with a repeatability
of 3σ < 0.35nm (reticle level).
Meeting a specific CD uniformity roadmap becomes more and more difficult as different budget components affecting CD uniformity fail to meet their requirements. For example, reticle manufacturing is at the edge of its potential, and hotplates impact CD uniformity by design. Also, etch processes must be balanced between optimal settings for varying structures. While work continues to enhance the performance of individual budget components, applying local exposure dose compensation with a scanner can provide a near-term solution for improving CD uniformity. Within the wafer processing chain, only the scanner has the unique capability to influence the final quality across-field and field-to-field in a controlled manner, making it the most effective tool for compensation. This paper describes the subsystems required for dose compensation and presents a solution that allows full integration into an automated fabrication environment. Examples will show that both the reticle contribution as well as the process-induced across-wafer fingerprint, including etch, can be improved by up to 50 percent. This improvement is demonstrated both on test structures and on memory device layers.
Scatterometry was selected as CD metrology for the 65nm CDU system qualification. Because of the dominant reticle residuals component in the 65nm CD budget for dense lines, significant improvements in reticle CD metrology were required. SEM is an option but requires extensive measurements due to the scatterometry grating modules. Therefore a new technique was developed and called SERUM (Spot sensor Enabled Reticle Uniformity Measurements). It uses the on board exposure system metrology sensors to measure transmission that is converted to reticle CD. It has the advantage that an entire reticle is measured within two minutes with good repeatability. The reticle fingerprints correlate well to the SEM measurements. With the improvements in reticle CD metrology offered by SEM and SERUM the reticle residuals component no longer dominates the 65nm budget for CDU system qualification.
As feature size decreases, factors like lens aberrations and pupil illumination become increasingly important for the lithographer. Both factors can be quantified using the Transmission Image Sensor (TIS), a built-in measurement device on ASML Step & Scan systems. Advantages of the TIS include robustness and speed, because it is a straightforward measurement technique that does not involve exposure of resist. To allow for on-site minimization of lens aberrations, new lens types will incorporate additional manipulators. Consequently, fast and accurate in-situ measurement of aberrations such as coma and spherical is required. This is achieved by measuring the position of the aerial image at multiple illumination settings, using the flexibility of modern scanners to automatically set the numerical aperture and partial coherence. The accuracy of this technique, proven by strong correlation with interferometric and lithographic data, makes it suitable for system optimization. Regarding measurements of pupil illumination, the new TIS-based technique is compared with the conventional resist-based method. The latter images a defocused pinhole in resist, and is ideal for visual inspection. For the new method, the TIS is scanned in a defocused lateral plane to record the far field image of the pinhole. Quantitative results like pupil uniformity, ellipticity and sigma accuracy are easily obtained.
ARTEMISTM (Aberration Ring Test Exposed at Multiple Illumination Settings) is a technique to determine in-situ, full-field, low and high order lens aberrations. In this paper we are analyzing the ARTEMISTM data of PAS5500/750TM DUV Step & Scan systems and its use as a lithographic prediction tool. ARTEMISTM is capable of determining Zernike coefficients up to Z25 with a 3(sigma) reproducibility range from 1.5 to 4.5 nm depending on the aberration type. 3D electric field simulations, that take the extended geometry of the phase shift feature into account, have been used for an improved treatment of the extraction of the spherical Zernike coefficients. Knowledge of the extracted Zernike coefficients allows an accurate prediction of the lithographic performance of the scanner system. This ability is demonstrated for a two bar pattern and an isolation pattern. The RMS difference between the ARTEMISTM-based lithographic prediction and the lithographic measurement is 2.5 nm for the two bar pattern and 3 nm for the isolation pattern. The 3(sigma) reproducibility of the prediction for the two bar pattern is 2.5 nm and 1 nm for the isolation pattern. This is better than the reproducibility of the lithographic measurements themselves.
This work analyzes the contributions to CD variation by building 3 predictive models that describe linewidth variation. The first model uses an exposure and focus budget analysis to create distributions that are used as input into a Monte Carlo analysis, where the output is a distribution of linewidth. The second model explores the effects of systematic intra-field effects by assuming that lens properties such as aberration will only cause global changes to the CD function, i.e. the function only shifts in focus and exposure. In combination with measurements such as focal pane, illumination uniformity and flare, a description of AFLV is constructed that reveals CD maps of the image field as a function of system focus and exposure. The third model combines the previous two techniques by incorporating random and systematic errors to create an across-wafer linewidth variation simulation. An example is shown using a scanner system and 0.18 micrometers structures. Systematic contributors to AFLV such as aberrations and reticle errors are included, as well as addition of random distributions of tilt eros and full wafer processing errors.
The standard ASML alignment system in combination with modified marks is used to determine the primary imaging parameters of the stepper: focus and exposure dose. The method uses a standard chromium on glass reticle. The paper discusses system calibration and feedforward process control in production. A lens qualification at the specified resolution and swing curves are shown. The exposure dose measurements are compared with dose to clear measurements. The theory for optimizing the mark layout is presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.