As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry
participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective
EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs)
and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation
in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV
in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their
own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships
(JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier
ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP
model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process
development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance
of receiving chip maker-specific designs. We utilize the TV to carry out mask process “stress testing” to define process
boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions
for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process
capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features
(SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks
for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for the broadest
community possible as the technology is implemented first within and then beyond the initial early adopters.
Traditional EUV masks, with absorber on top of the multi-layer (ML) mirror, generally suffer from mask 3D effects: H/V shadowing, best focus shifts through pitch and pattern shifts through focus. These effects reduce the overlapping process window, complicate optical proximity correction and generate overlay errors. With further pitch scaling, these mask 3D effects are expected to become stronger, increasing the need for a compensation strategy.
In this study, we have proven by simulations and experiments that alternative mask technologies can lower mask 3D effects and therefore have the potential to improve the imaging of critical EUV layers.
We have performed an experimental imaging study of a prototype etched ML mask, which has recently become available. This prototype alternative mask has only half the ML mirror thickness (20 Mo/Si pairs) and contains no absorber material at all. Instead, the ML mirror is etched away to the substrate at the location of the dark features. For this etched ML mask, we have compared the imaging performance for mask 3D related effects to that of a standard EUV mask, using wafer exposures at 0.33 NA. Experimental data are compared to the simulated predictions and the benefits and drawbacks of such an alternative mask are shown. Besides the imaging performance, we will also discuss the manufacturability challenges related to the etched ML mask technology.
Traditional EUV masks, with absorber on top of the multi-layer (ML) mirror, generally suffer from mask 3D effects: H/V shadowing, best focus shifts through pitch and pattern shifts through focus. These effects reduce the overlapping process window, complicate optical proximity correction and generate overlay errors. With further pitch scaling, these mask 3D effects are expected to become stronger, increasing the need for a compensation strategy. In this study, we have proven by simulations and experiments that alternative mask technologies can lower mask 3D effects and therefore have the potential to improve the imaging of critical EUV layers. We have performed an experimental imaging study of a prototype etched ML mask, which has recently become available. This prototype alternative mask has only half the ML mirror thickness (20 Mo/Si pairs) and contains no absorber material at all. Instead, the ML mirror is etched away to the substrate at the location of the dark features. For this etched ML mask, we have compared the imaging performance for mask 3D related effects to that of a standard EUV mask, using wafer exposures at 0.33 NA. Experimental data are compared to the simulated predictions and the benefits and drawbacks of such an alternative mask are shown. Besides the imaging performance, we will also discuss the manufacturability challenges related to the etched ML mask technology.
Traditional EUV masks, with absorber on top of the multi-layer (ML) mirror, generally suffer from mask 3D effects: H/V shadowing, best focus shifts through pitch and pattern shifts through focus. These effects reduce the overlapping process window, complicate optical proximity correction and generate overlay errors. With further pitch scaling, these mask 3D effects are expected to become stronger, increasing the need for a compensation strategy. In this study, we have proven by simulations and experiments that alternative mask technologies can lower mask 3D effects and therefore have the potential to improve the imaging of critical EUV layers. We have performed an experimental imaging study of a prototype Etched ML mask, which has recently become available. This prototype alternative mask has only half the ML mirror thickness (20 Mo/Si pairs) and contains no absorber material at all. Instead, the ML mirror is etched away to the substrate at the location of the dark features. For this Etched ML mask, we have compared the imaging performance for mask 3D related effects to that of a standard EUV mask, using wafer exposures at 0.33 NA. Experimental data are compared to the simulated predictions and the benefits and drawbacks of such an alternative mask are shown. Besides the imaging performance, we will also discuss the manufacturability challenges related to the etched ML mask technology.
In our study we have investigated the mask 3D impact on best focus (BF) shifts, which may occur on more complex 2D patterns, by looking at simplified line/space test patterns at various pitches. Several test masks were created; with different absorber thicknesses or different quartz etch depths, all containing ASML L/S test patterns. These test patterns consist of 40 and 45nm horizontal lines at multiple pitches (80-315 nm) and 90nm vertical lines. Wafers were exposed on an NXT:1950i, and the critical dimensions (CDs) were measured through focus to get the best focus (Bossung top) for the different features. In this paper we demonstrate that optimizing the mask absorber thickness for 6% att.PSM will reduce feature-to-feature best focus offsets (~25nm smaller BF range measured on L/S test features) and thus improve the Overlapping Depth of Focus. The change in absorber thickness has limited impact on exposure latitude, but will impact the print CDs. Next to the impact of the absorber thickness on best focus shifts we also derived a 1.33 nm/nm sensitivity of the best focus range to etch depth variations for the ASML L/S test features, and show that the over-etch needs to be carefully controlled, as it also impacts the best focus range.
The control of critical dimension uniformity (CDU), especially intra-field CDU, is an important aspect for
advanced lithography, and this property must be controlled very tightly since it affects all of the exposure fields. It is
well known that the influence of the mask CDU on the wafer intra-field CDU is becoming dominant because the mask
error enhancement factor (MEEF) is quite high for low-k1 lithography. Additionally, the abovementioned factors impact
the CDU through global (field-level) and local (grating-level) variations. In this paper, we analyze in detail CDU budgets
by clarifying the impact of local CD variation.
The 50-nm staggered hole features using Att-PSM showed a mask global CDU of 1.64 nm (3sigma at the mask
level) and a wafer intra-field CDU of 2.30 nm, indicating that the mask global CDU was a major part of the intra-field
CDU. By compensating for the contribution of the mask CD, the wafer intra-field CDU can be reduced to 0.986 nm.
We analyzed the budgets of wafer intra-field CDU, which is caused by local CD variation (mask and process) and
measurement noise. We determined that a primary cause of the wafer intra-field CDU after applying a mask CD
correction was these local CD variations, which might disturb the proper use of dose correction for the mask CD. We
demonstrated that the impact of mask local CD variation on the correction flow can be greatly reduced by averaging
multiple point measurements within a small area, and therefore discuss the optimum conditions allowing for an accurate
intra-field CDU determination. We also consider optimization of the CD sampling scheme in order to apply a dose
correction on an exposure system to compensate for the mask CDU.
For sub 20nm features, IC (integrated circuits) designs include an increasing number of features approaching the
resolution limits of the scanner compared to the previous generation of IC designs. This trend includes stringent design
rules and complex, ever smaller optical proximity correction (OPC) structures. In this regime, a new type of mask,
known as opaque MoSi on glass (OMOG), has been introduced to overcome the shortcomings of the well-established
phase shift masks (PSM). This paper reviews the fundamental aerial imaging differences between identically designed
PSM and thin OMOG masks. The masks were designed for scanner qualification tests and therefore contain large
selections of 1D and 2D features, including various biases and OPCs. Aerial critical dimension uniformity (CDU)
performance for various features on both masks are reported. Furthermore, special efforts have been made to emphasize
the advantages of aerial imaging metrology versus wafer metrology in terms of shortening scanner qualification cycle
time.
In this paper we compare the imaging properties of lithographic test structures formed on test masks
with different reticle absorbers for use in1.35 NA immersion lithography. We will look into different
aspects like process windows and CD fingerprints. Beyond that we look into the topographic effects
caused by the different absorbers, the mask 3D effects. We will study the interaction between the
different masks and immersion scanner.
Special attention is given towards the correctability of the intrafield CD fingerprint by mask and
scanner applying dose corrections.
As half pitch shrinks to sub 20nm dimensions, the latest hybrid IC (integrated circuit) designs include a greater number
of features that approach the resolution limits of the scanner than in the previous generation of IC designs. This trend
includes stringent design rules and complex, ever smaller optical proximity correction (OPC) structures. In this regime, a
new type of mask, known as opaque MoSi on glass (OMOG), has been introduced to overcome the shortcomings of the
well-established phase shift masks (PSM). As for lithography, scanner and mask determine ultimate intra-field
performance as one approaches scanner resolution limits. Holistic lithography techniques have been developed to
optimize the interrelated mask and scanner effects on critical dimension uniformity (CDU) and common process window
(PW) for the most demanding sub 20nm node features. This paper presents an efficient and production worthy
methodology for evaluating the CDU, PW, and 3D effect fingerprints of the latest immersion scanner and thin OMOG
masks, and minimizing them using high-order optimizers of the latest holistic ArFi lithography.
DPL (Double Patterning Lithography) has been in public as one of candidates for 45nm or 32nm HP since
ITRS2006update disclosed. A lot of report of the performances and issues regarding to DPL were published.
The current main concerns are evaluation of the infrastructures such as decomposition software, advanced
photomasks, higher-NA exposure tool and leading-edge hard-mask process. If there is simpler procedure to
evaluate DPL using a conventional environment without hard-mask process, the development of DPL will be
accelerated. Here, the simple evaluation procedure for DPL using actual photomasks combining double
exposure technique was proposed. The pseudo DPL result in terms of mask CD uniformity, image placement
and overlay were demonstrated. In this evaluation procedure, decomposition restriction, mask latitude and
fabrication load were also discussed
Extremely fine hole pattern formation with dark spot image is investigated with Atten-PSM and specific modified illumination. In optical image calculation, by the application of tone reversed image in Atten-PSM under an optimized cross-pole illumination, dark spot image with zero MEF and iso-focal characteristics is obtained for very wide range of pattern pitch. In KrF wavelength, formation of ~110 nm size dark spot image with resolution DOF higher than ~0.50μm can be achieved for the pattern pitch of isolated to ~240 nm. In this imaging, MEF may become very low or exactly zero for the pitch of isolated to ~300 nm. Because of low or zero MEF, OPC is essentially difficult or may be performed imperfectly for this method. However, small OPE of ~10 nm in CD variation throughout pattern pitch could be expected by the application of optimized illumination. In preliminary experiments under KrF optics of NA=0.75, high DOF and zero MEF characteristics are successfully proven, even while the experiments are carried out with non-optimal modified illumination.
A novel method for monitoring lens aberration in projection optics of a stepper is developed utilizing pinhole aperture formed on backside of photo mask. With the pinhole aperture, illumination beam to a mark pattern on the front side of a photo mask becomes semi-coherent with an incident angle which is determined by lateral distance between the pinhole and the mark. When the mark pattern generates diffraction beams within narrow angle region, imaging is carried out by using localized area of pupil. As a result, Hartmann test structure is effectively realized by this configuration. By elaboration of mask pattern, measurement error is significantly reduced resulting in sufficient accuracy for monitoring lens aberration in current scan stepper. Simulations by optical image calculation reveal that measurement error is less than 10m wave in RMS and 40m wave in maximum local deviation for an aberration which is expressed with first 35 polynomials of Zernike series. In preliminary experiments, measured aberration seems to be reasonable. This method should provide a simple, easy and cost effective tool for monitoring of lens aberration.
For the convenience of practical use of phase shift focus monitor (PSFM), which has been developed by T. Brunner, imaging characteristics of PSFM are investigated under modified illumination by optical image calculations and printing experiments. Although the mechanism of pattern shift with focus offset under modified illumination is different from that for conventional high coherent illumination, sufficient sensitivity for precise focus monitoring is predicted by optical image calculations. Also, it is revealed that reduction of NA, i.e., localizing illumination at the peripheral part of pupil is effective to obtain higher sensitivity. By experiments, predicted characteristics are observed and similar sensitivity to that in conventional high coherent illumination is confirmed both for annular and quadrupole illuminations.
Simple focus monitoring method has been successfully developed by application of a special illumination aperture, which generates oblique illumination beam. By this method, very high sensitive focus monitoring has been achieved in a current stepper. In the stop of the illumination aperture, an opening is located at eccentric position near pupil edge. Then, illumination beam obliquely incidents to mark pattern on mask. Because of this configuration of illumination beam, imaging is carried out with oblique beams on wafer. As a result, imaging becomes non-telecentric. That is, image formed by this illumination laterally shifts almost proportional to focal deviation. To measure the lateral pattern shift, box-in-box mark is formed by double exposure. Inner box is formed by the oblique illumination in the first exposure and outer box is formed by conventional low coherent illumination in the second exposure overlaying inner box by stepping of wafer. Then, relative displacement of inner box to outer box is measured by commercially available overlay measurement system. Since sine of landing angle of imaging beams is approximately NA*sigma, which is over approximately 0.50 in a current stepper, the focus sensitivity, which is defined by a ratio of lateral pattern shift per unit defocus, may become approximately 0.50. Because resolution of lateral pattern shift is approximately 2 nm in current overlay measurement, the resolution of focus sensing becomes very high of approximately several nm.
Since conventional pellicle material is not transparent to 157nm light, we are developing a thick pellicle made of fused silica. The effect of aberration due to the pellicle on the optics of an exposure tool has been estimated by simulation, and requirements for the pellicle dimensions have been proposed. It was found that a thick pellicle generates spherical aberration, and this has to be corrected in the system optics. It was indicated that the tilt of the pellicle gives an image shift and coma aberration. Sagging of the membrane causes tilting, and the use of a thicker membrane improves the degree of sagging. However, the requirements for tilt angle are then tighter. It was also noted that wedge due to the thickness change generates an image shift and a coma aberration. The effect of wedge is reduced by having a lower pellicle stand-off, but this also increases the printability of particles. We need to consider the total effect of using a thick pellicle as a component of an exposure tool or an inspection tool in order to define the specification of a hard pellicle for 157nm lithography.
We investigated pellicle degradation during ArF laser irradiation and its effect on a substrate. The chemical structure of the pellicle film was not changed but its molecular weight decreased. F and C were observed on the substrate surface after irradiation. The source of the contamination was apparently film evaporation caused by ArF laser irradiation. Further experiments under conventional conditions, not accelerated conditions, will be necessary.
We propose zirconium silicon oxide (ZrSiO) film as a powerful candidate for attenuated phase-shift mask (Att-PSM) materials. A bi-layer structure of this material with an absorptive film (AF) and a transparent film (TF) can effectively control the transmittance and phase. We confirmed the durability of the ZrSiO film in ArF laser irradiation. The lifetime with the change in transmittance and phase after irradiation at 30 kJ(DOT)cm-2 is equivalent to a total dose of 3 years in future ArF exposure systems. We investigated the resolution performance of the Att-PSM with ZrSiO film for a 130 nm pattern. The depth of focus with the Att-PSM was larger than that of the binary mask. Therefore, an Att-PSM with ZrSiO is promising for developing a 130-nm-technology node with ArF lithography. Controllability of the critical dimension on the wafer is discussed from the viewpoint of the mask error factor (MEF).
It was demonstrated that the DOF obtained using the Att-PSM was 0.5 micrometer for 150 nm hole pattern, whereas that obtained using a binary mask was 0.2 micrometer. Durability of the film subjected to ArF laser irradiation is also investigated, and no significant change is observed in both phase and transmittance. It was indicated that the attenuated phase-shifting mask (Att-PSM) is effective and realistic in ArF lithography. Factors in CD control such as mask error factor (MEF), phase and transmittance are discussed. It is important to reduce MEF for hole pattern, since MEF in experiment was over 3 while it is desired to be less than 1.8. Att-PSM with higher transmittance and higher NA are effective to reduce MEF. On the other hand, MEF for line pattern is small enough for use in production. The control of phase and transmittance are also an important issue. It is estimated that phase error of 3 deg and transmittance error of 0.3% are required.
Pellicle durability and the effect of damaged pellicles on lithographic performance were investigated. It was found that pellicles lose their thickness but do not change their optical constant in an air atmosphere when irradiated by an ArF laser. Changes in pellicle thickness cause a wave aberration change. It was confirmed that this damage affects lithographic performance in simulations and experiments. It was estimated that transmittance of a 1 percent change causes a CD shift of 0.6 nm. We have also found that a lifetime of latest pellicle is over one year. Pellicles are now available with enough durability, as the pellicle durability has been greatly improved.
This paper describes a direct phase measurement system with transmitted UV-light for phase shifting mask (PSM) inspection using a shearing interferometer microscope. Measurements were made with 365 nm monochromatic light of mercury arc lamp. The accuracy of this system is sufficient for the application for phase shifting mask inspection. The measurement results are in good agreement with the calculation based on quartz step height measurement and refractive index. Wafer exposure results of attenuating-type PSM also agree with the phase measurement results.
Attenuated phase-shifting mask with a single-layer absorptive shifter of CrO, CrON, MoSiO or MoSiON films has been developed. The optical parameter of these films can be controlled by the condition of sputtering deposition. These films satisfy the shifter requirements, both the 180-degrees phase shift and the transmittance between 5 and 20% for i-line. MoSiO and MoSiON films also satisfy the requirement for KrF excimer laser light. Conventional mask processes, such as etching, cleaning, defect inspection and defect repair, can be used for the mask fabrication. Defect-free masks for hole layers of 64 M-bit DRAM are obtained. Using this mask, the focus depth of 0.35-micrometers hole is improved from 0.6 micrometers to 1.5 micrometers for i-line lithography. The printing of 0.2-micrometers hole patterns is achieved by the combination of this mask and KrF excimer laser lithography.
This paper discusses the effect of duty ratio of line and space patterns and the coherency of illumination for the projection system on the lithographic characteristics, which must be taken into consideration in designing LSI patterns with an alternated type phase-shifting method. It was found that the alternated phase-shifting method improved the DOF for space patterns using a width smaller than the line width. On the contrary, there was no effect for narrow line patterns when the space width was larger than twice the line width. It was also found that the DOF became larger, when the coherency became higher using both the alternated and the conventional mask for line patterns having a width smaller than the space width. It is concluded that a high coherency must be chosen for the phase-shifting method.
Computer simulations and i-line phase shift lithography experiments with programmed 5X phase shift reticle defects were used to investigate the effect of opaque and phase-shift layer defects on sub-half-micron lines. Both the simulations and the experiments show that defects in the phase shift layer print larger than corresponding opaque defects, with 0.3-0.4 micrometers defects affecting sub-half-micron critical dimensions by more than the allowable 10%. Inspection of programmed phase shift defects with a prototype mask inspection system confirmed that the system finds the 0.3-0.4 micrometers phase shift defects critical to sub-half-micron lithography.
One of the problems in applying the phase-shifting method in the positive resist process is the resist bridge generated at the phase-shifter edge. This problem has occurred in the past because the light intensity decreased to zero due to the interference at the phase-shifter edge. In order to solve this problem, we propose a new phase-shifting mask structure containing an intermediate phase-shifter. This intermediate phase-shifter will change the phase of the light by 90 degrees and will be placed at a peripheral edge of the conventional phase-shifter on the transparent substrate. The effect of this mask structure is demonstrated. A 0.3 micrometers lines and spaces pattern is successfully resolved without resist bridge, and the DOF at a 0.35 micrometers lines and spaces pattern is 1.2 micrometers wide. It is also demonstrated that this mask structure is effective on patterns such as LOCOS.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.