KEYWORDS: Carbon, Silicon, Etching, Polymers, System on a chip, Scanning electron microscopy, Silicon carbide, Oxides, Reactive ion etching, Optical lithography
For self-aligned multiple patterning, higher etch selectivity between mandrel and spacer is desired to lessen roughness, and thereby prevent pitch walk. We selected dual carbon layers as mandrels and silicon oxide films as spacers for a new self-aligned quadruple patterning process since they potentially provide infinite etch selectivity. We gained insolubility and etch selectivity between two carbon layers by infiltrating trimethylsilyldimethylamine into one of the carbon layers under the ambient atmosphere. Significantly, neither necking nor recess were observed when the spin-on-glass antireflective coating was removed. Thus, a SAQP scheme was developed and successfully demonstrated a sub15-nm halfpitch pattern. Additionally, this scheme improves affordability since all the processes can be performed in the ambient pressure within a coater module.
Directed self-assembly (DSA) of block copolymers (BCPs) with conventional lithography is being thought as one of the potential patterning solution for future generation devices manufacturing. New BCP platform is required to obtain resolution below 10nm half pitch (HP), better roughness, and defect characteristics than PS-b-PMMA. In this study, we will introduce the newly developed Si-containing high chi BCP which can apply perpendicular lamellar orientation with topcoat free, mild thermal annealing under nitrogen process conditions. It will be also shown in experimental results of graphoepitaxy demonstration for L/S multiplication using new high chi BCP.
Extreme Ultra Violet (EUV) lithography is one of the most promising candidate technologies for the high-volume manufacturing (HVM) of semiconductor devices at the sub-14 nm half pitch lines and spaces (LS) pattern for 7 nm node and beyond. EUV resists is strongly required high resolution (R) with high sensitivity (S) and low line edge/ width roughness (L) for HVM application. Experimental results on chemically amplified (CA) resist will be shown to study the influence of proton source, photo acid generator (PAG) cation and the other materials on lithographic performance, and then resist formulation designed for improving RLS trade-off will be discussed.
Extreme Ultra Violet (EUV) Lithography is being thought to be one of the most promising candidate technologies to replace current optical lithography for the high-volume manufacturing of semiconductor devices at the 10 nm node and below. Through-put still seems to be under the target, so EUV resist materials are strongly required high resolution (R) with high sensitivity (S) and low line edge/width roughness (L). However, the chemically amplified resists should overcome RLS-trade-off. We focused on the development of EUV resist by the combination of the low activation energy protecting group (PG) and high quantum yield PAG for overcoming RLS trade-off.
Directed Self-Assembly (DSA) of Block Co-Polymer (BCP) with conventional lithography is being thought as one of the potential patterning solution for future generation devices manufacturing. Many studies have been reported to fabricate the aligned patterns both on grapho and chemoepitaxy for semiconductor application1, 2. The hole shrink and multiplication by graphoepitaxy are one of the DSA implementation candidates in terms of relatively realistic process and versatility of chip design. The critical challenges on hole shrink and multiplication by using conventional Poly (styrene-b-methyl methacrylate) (PS-b-PMMA) BCP have been reported such as CD uniformity, placement error3 and defectivity. It is needed to overcome these challenging issues by improving not only whole process but materials. From the material aspect, the surface treatment material for guide structure, and process friendly BCP material are key development items on graphoepitaxy. In this paper, it will be shown in BCP approach about conventional PS-b-PMMA with additives and new casting solvent as PS-b-PMMA extension for CD uniformity and placement error improvement and then it’ll be discussed on what is the key factor and solution from BCP material approach.
Extreme ultraviolet (EUV) lithography is the most promising candidate for the high-volume production of semiconductor devices with half-pitches of sub 10nm. An anion-bound polymer(ABP), in which at the anion part of onium salts is polymerized, has attracted much attention from the viewpoint of the control of acid diffusion. In this study, the acid generation mechanism in ABP films was investigated using γ and EUV radiolysis. On the basis of experimental results, the acid generation mechanism in anion-bound chemically amplified resists was proposed. The protons of acids are considered to be mainly generated through the reaction of phenyl radicals with diphenylsulfide radical cations that are produced through the hole transfer to the decomposition products of onium salts.
The uniformity of acid generator distribution and the length of acid diffusion are serious problems in the development of resist materials used for the 16nm node and below. Anion-bound polymers in which the anion part of onium salts is polymerized have attracted much attention for solving these problems. In this study, the reaction mechanism of an anion-bound polymer in cyclohexanone was clarified using pulse radiolysis. The design of an efficient electron and hole transfer system is essential to the enhancement of resist performance.
Directed self-assembly (DSA) of block copolymers (BCPs) is one of candidate for next generation patterning technique. Many good demonstrations of DSA have been reported using polystyrene-block-poly(methyl methacrylate) (PS-b- PMMA) these days. On the other hands, BCPs which show high chi parameter are being developed because the BCPs can be formed smaller features than PS-b-PMMA. Si-containing BCPs are one of them. Moreover Si-containing BCPs show higher etch selectivity than PS-b-PMMA because of higher etch resistance of Si-containing block. Unfortunately, while Si-containing BCPs can be aligned by solvent annealing, they but cannot be aligned perpendicular to the substrate by thermal annealing. Because Si-containing block which has low surface energy achieves maximum interaction with air interface by forming a top parallel wetting layer to the substrate. One solution to control of surface energy on top surface is the use of Top-Coat (TC). It has been already demonstrated that TC with Si-containing BCP could form perpendicular pattern. The challenges are TC coating onto BCP film and TC stripping after annealing. In order to solve these problems, polarity-changeable type TC has been developed. The effect of TC materials to generate finger print of BCP has been reported. However, this TC process should combine with DSA process to form aligned patterns. Graphoepitaxy is one of the DSA technique to align BCP pattern using guide pattern. In this technique, the characteristic of guide pattern side wall is very important to control BCP pattern alignment for the Graphoepitaxy process. Also, in order to establish the process, there are two key parameters for the materials. One is BCP and guide pattern should have enough resistance to TC solvent through TC coating process. The other is TC can be removed easily with basic aqueous solution before BCP patterning. In this report, a detail of examination for TC Graphoepitaxy process will be discussed.
We have prepared and analyzed neutralization layer material to perform perpendicular morphology of Poly
(styrene-block-methyl methacrylate) (PS-b-PMMA) as Block-Co-Polymers (BCPs). Neutralization layer surface
property is optimized by changing hydrophilicity. We have evaluated two types of neutralization layer material. First
one is graft type polymer which makes chemical bonding to substrate. The other is crosslink type polymer which
becomes insoluble to organic solvent by thermal crosslink reaction. We checked neutralization function by changing
film thickness of the neutralization layer under PS-b-PMMA. Regarding to graft type, it was found that when the film thickness of neutralization layer is over 2.3 nm, PS-b-PMMA forms perpendicular morphology on appropriate
neutralization layer. Similarly, regarding to crosslink type, it was found that when the film thickness of neutralization
layer is over 1.9 nm, PS-b-PMMA forms perpendicular morphology on appropriate neutralization layer. Finally, we will show lamella and cylinder patterns changing L0 of PS-b-PMMA on neutralization layer.
Out of band (OoB) radiation has been regarded as one of the key issues on Extreme Ultra Violet
Lithography (EUVL). OoB light especially in the deep ultraviolet (DUV) region have a negative
impact on image contrast and resist profile, since general photo acid generator (PAG) used in chemically
amplified EUV resist are also sensitive for DUV. It is reported that a Spectral Purify Filter (SPF) would
eliminate OoB radiation. However it expense a large reduction in EUV power and hence throughput, so
it is reported that HVM EUV exposure tool would not employ SPF.
Therefore, both EUV sensitive and DUV insensitive are required property to overcome OoB radiation
issue by resist material itself. Consideration of PAG cation structure was proceeded to control
absorption for DUV. Based on the concept, OoB insensitivity was investigated both on blend resist
platform and Polymer Bound PAG (PBP) platform. OoB insensitive concept was confirmed with UV
spectrum and sensitivity for KrF and ArF. The OoB insensitive PAG cation worked well on PBP, while
dark loss are seen on blend resist platform due to lack of inhibition effect. Lithographic performance
would be exhibited using Alpha Demo Tool (ADT) and NXE3100. Outgassing property on witness
sample (WS) and Residual Gas Analysis (RGA ) will be also discussed.
Double patterning techniques (DPT) with 193nm immersion lithography are being thought to be one of the
most promising candidates for the 22nm node and beyond. Especially, self-aligned spacer double patterning
(SADP) has already been established as pitch doubling process and adapted in high volume manufacturing of
NAND flash memory device. Moreover, ultra fine resolution can be obtained to repeat the SADP step twice as
pitch quadrupling.
Simple cost effective SADP scheme which is resist core SADP process has already been demonstrated to
obtain not only simple line and space patterning also trench and 2D patterning as well by Tokyo Electron LTD.[1,
2, 3] In this process, a SiO2 spacer film is being directly formed on a tri-layer resist stack. This pattern is then
transferred onto an underlying spin-on carbon (SOC) film and the final pattern is resolved on the TEOS film.
Roughness and verticalness of resist pattern could affect the quality of SiO2 spacer film deposition and it could
determine the CD uniformity of final pattern. Therefore, it's been thought that low line-width roughness (LWR)
resist pattern and vertical resist profile make a better CD contribution and uniformity on final pattern.
Experimental results on SADP process will be shown and then it'll be discussed that specially designed resist
materials which indicates small LWR and vertical profile could have a potential to improve CD uniformity after
pitch splitting SADP process.
Double patterning techniques are one of the dominant method to achieve the 32 nm node and beyond and Litho-Litho-
Etch (LLE) process is a strong candidate for double patterning method. Contact hole resolution is limited by the low
image contrast using dark field masks. Cross-line contact hole process using LLE process is applicable to image fined
contact holes. Contact hole patterns are formed by first line and space patterns and orthogonal second line and space
patterns. Furthermore LLE process flow should be simple as possible as it can for cost reduction. Thus LLE process
without freezing process is ideal one.
In this paper, we examine the process performance using latest material for freezing free LLE process, exposure tool
and novel coater/developer system. The latest resist materials can form cross-line contact hole with good pattern fidelity
and CD uniformity. It will be shown that novel coater/developer hardware is effective on enhancement of lithography
performance like CD control and defect control toward double Patterning technology for 193-nm immersion lithography.
We developed negative-tone chemically amplified molecular resists based on a fullerene derivative and evaluated the
lithographic performance using 75 keV electron beam (EB) exposure tool to explore the potential of fullerene derivatives
as a negative-type EB resist with high resolution and high etching durability. The etching rate of fullerene derivatives is
lower than that of conventional resist materials such as PHS, ZEP530 and UVIII. Although a dose of 800 μC/cm2 is
required, 60 nm line resolution and aspect ratio five was obtained in best of four kinds of fullerene derivative films. Also,
the effect of acid generators to a fullerene derivative resists were investigated. Fullerene derivative resists are a
promising candidate for nanolithography because it is essential for next generation lithography to have high aspect ratio related collapse of high resolution pattern and high etching durability in ultra-thin films.
Double patterning with 193nm immersion lithography becomes to most promising candidate for 32nm half pitch node
and possibly below 32nm half pitch. Several double patterning methods have been suggested such as LELE (Litho-Etch
-Litho-Etch), LLE (Litho-Litho-Etch) and Spacer defined process, however, LLE process is pointed out as low cost
double patterning technique because of its simplicity. But LLE process needs new method to maintain 1st lithography
pattern and additional freezing processes have been suggested
In SPIE Advanced Lithography 2009, freezing free "Posi/Posi" process was introduced as candidate for LLE process.
This is LLE process that uses two different positive tone photoresists without freezing process. The resist for 2nd
lithography contains a specific solvent to prevent the mixing of two resists and there is an activation energy gap
between 1st and 2nd resists to maintain 1st lithography pattern. The double patterning can be successfully processed by
these specific resists without freezing process.
In this study, the performance of this freezing free "Posi/Posi" process is investigated for pitch splitting pattern using
1.35 NA exposure tool. The imaging results including CD control capability, and etching results are collected for 32nm
half pitch and below. Additionally the two-dimensional pattern imaging is also obtained for 76nm minimum pitch.
Double patterning with 193nm immersion lithography is generally recognized as a candidate for 32nm hp node and
possibly beyond with recent progress. LLE (Litho-Litho-Etch) could be good candidate for double patterning method
because of its simplicity but the good solution hasn't been proposed yet.
In last year, freezing free Posi/Nega process was introduced as candidate for LLE process. But that had an issue that the
resolution of negative tone resist was little bit poor for 1L/3S pattern compared with positive tone. Thus it's better to
choose positive tone as 2nd resist for this reason. And then Posi/Posi process without any freezing material has been
investigated and successfully established to image double patterning.
Posi/Posi process without any freezing material has successfully achieved to image below 32nm hp. Furthermore contact
hole imaging was succeeded by using cross-line method and image reverse method.
We present the productivity study of freezing free Posi/Posi process on Cross-lined contact hole, critical resolution for
pitch splitting and reverse imaging for contact hole.
We developed a chemically amplified molecular resist based on a fullerene derivative and evaluated the lithographic
performance using 75 keV electron beam (EB) exposure tool to explore the potential of fullerene derivatives as a
positive-type EB resist with high resolution and high sensitivity properties. The etching rate of fullerene derivative is
almost similar to that of ZEP and UVIII. Also, the fullerene derivative resist containing 6 wt% acid generator shows a
sensitivity of 33 &mgr;C/cm2 when it was exposed to 75 keV electron beam and postbaked at 170 °C. Although it required a
dose of 800 &mgr;C/cm2, a fullerene derivative film yielded line resolution of better than 30 nm. Moreover, the effect of the
types of acid generators to the resist performance of fullerene derivatives was investigated. It is very important for a
fullerene derivative resist to select appropriate acid generator and process conditions. Fullerene derivative resists are a
promising candidate for nanolithography.
Multi-layer stack application will be established at manufacturing process beyond 65nm node. Multi-layer stack
application means laminated layer like a Photoresist, Si contained hard mask, and Carbon hard mask on substrate. Multilayer
stack application can be solved anti-reflection at hyper NA (that means more than 1 numerical aperture) and less
etching resistance in thinner film resist, so Multi-layer stack application is required for 193nm immersion lithography
process. And criteria of our material in Multi-stack application are spin-on and drain compatible type. In this report, we
will discuss about Spin-on Si-contained hard mask and spin-on carbon hard mask criteria, our experiment and results to
solve issue.
Spin-on Si-contained hard mask is required 3-factors that is unti-reflection from substrate at hyper NA conditions, resist
matching, and higher etching resistance. It is general that higher Si-content ratio in based polymer can't be matched with
current 193nm photoresist. But Lower Si-contained Hard Mask can't be resisted by dry etching. In this report, we will
discuss about our material approach for good resist matching (no footing issue) without reduction of Si-content ratio,
pattern transfer ability by dry etching, and reflectivity simulation results at Hyper-NA condition.
LWR issue after dry etching is key factor of Multi-layer stack application. We estimate that composition of based
polymer in carbon hard mask material and film density in carbon Hard Mask is relative to LWR issue after dry etching.
In this report, we will discus about our material approach for less LWR issue after dry etching.
For chemically amplified resists which generally consists of a polymer and an acid generator, the homogeneity of resist
materials is a serious issue. The incorporation of acid generators into polymers via covalent bonds has attracted much
attention because it removes the compatibility problem of acid generators with polymers. In this study, we designed a
single-component chemically amplified resist, taking advantage of the difference of reaction mechanisms between
electron beam and photoresists. The designed resist has a hydroxyl group as a proton source and halogen atoms as an
anion source for acid generation. The developed resist showed an excellent performance.
193nm immersion lithography is the most promising lithographic technology for the semiconductor device manufacturing of 65nm node and below. The advantage of 193nm immersion lithography is the possibility of wider depth of focus (DOF) and higher resolution through the hyper NA lens design greater than 1.0(1-3). In this paper, we investigated the topcoat material film characteristics and evaluated its performance to determine the chemical properties needed for a practical level. The stage scan speed capability evaluation, which is one of the best available method to test the suppression or generation of small water droplet remains on the topcoat film at high-speed stage scan during immersion exposure, was used. And finally we investigated the defectivity of topcoat process utilizing the Nikon EET. The static and dynamic contact angles of water droplet were investigated to characterize the topcoat material. The tilting sliding and receding angle, the contact angle of water droplet at the dynamic state, were important parameters to characterize the topcoat materials and have good correlation to wafer stage scan speed capability and immersion defect count reduction.
193nm immersion lithography is the most promising lithography candidate for 45nm node technology and beyond. However, immersion specific issue, such as the immersion specific defect and the leaching of resists compound into immersion fluid, still exists without any effective countermeasure. To realize a productive 193nm immersion lithography process, we have to develop a cost effective material that might be immersion dedicated resist. In this paper, we investigated the leaching with different polymer protective agents and hydrophobicity. It was found that the leaching amount was strongly related to the activation energy of the protective agent and hydrophobicity of the polymer. Higher activation energy of protective agents and higher hydrophobicity of polymer showed less amount of leaching. In this paper, newly developed developable type topcoat TILCTM-031 demonstrated the excellent ability of immersion defect prevention.
In this study, we have demonstrated a resist process to fabricate sub 45-nm lines and spaces (L&S) patterns (1:1) by using electron projection lithography (EPL) for a back-end-of-line (BEOL) process for 45-nm technology node. As a starting point we tried to fabricate sub 45-nm L&S (1:1) patterns using a conventional EPL single-layer resist process. There, the resolution of the EPL resist patterns turned out to be limited to 70 nm L&S (1:1) with aspect ratio (AR) of 3.3 which was caused by pattern collapse during the drying step in resist develop process. It has been common knowledge that pattern collapse of this type could be prevented by reducing the surface tension of the rinse-liquid and by decreasing the AR of the resist patterns. Therefore, we first applied a surfactant rinse to a single-layer resist process that could control the pattern collapse by its reduced surface tension. In this experiment, we used the ArF resist instead of the EPL resist because the surfactant that we were able to obtain was the one optimized to the ArF resist materials. From the results of ArF resist experiments, it was guessed that it was difficult for the EPL resist to obtain the L&S patterns with AR of 3.5 or more even if we used the surfactant optimized to the EPL resist. And we found that it was considerably difficult to form 45-nm L&S patterns with AR of 5.1 that was our target. Next, we evaluated a EPL tri-layer resist process to prevent pattern collapse by decreasing the AR of the resist patterns. Because in a tri-layer resist process the purpose of the top-layer resist is to transfer pattern to the middle-layer, a thinner top-layer resist was selected. By using the tri-layer resist process we were able to control the resist pattern collapse and thus were successful in achieving 40-nm L/S (1:1) top-layer resist patterns with AR of 2.3. The process also gave us 40-nm L&S (1:1) patterns after low-k film etching. And moreover, using our tri-layer resist process we were able to fabricate a wiring device with Cu/low-k. Although it was our first attempt, the process resulted in a high yield of 70 % for a 60-nm (1:1) wiring device. As a part of our study we conducted failure analysis of the results of our experiment. We found that the failures were located at the edge of the wafer and might originate in the bottom-layer pattern collapse. We thought that the wiring yield could be increased by control the bottom-layer pattern collapse. These findings indicated that our tri-layer resist process had a high applicability for device fabrication in BEOL.
193 nm lithography is one of the most promising technologies for next-generation lithography and is being actively evaluated for making it practicable (1,2). First, we evaluated an immersion lithography tool (engineering evaluation tool (EET)) (3) and a dry lithography tool (S307E) with the same numerical aperture (NA = 0.85), manufactured by Nikon Corporation. As a result, an increase in the depth of focus (DOF) of the EET to 200 nm in comparison with the DOF (110 nm) of the dry exposure tool was confirmed in a 90 nm isolated space pattern. Next, the optical proximity effect (OPE) in this pattern was evaluated. Generally, when an immersion lithography tool is compared with a dry one with the same NA or both the tools, only an increase in the DOF is found. However, we confirmed that the OPE (The OPE of the 90 nm isolated space pattern is defined as the difference in the space width between a dense space and an isolated space.) of the dry exposure tool for the 90 nm isolated space pattern reduced from 33.1 nm to 14.1 nm by immersion lithography. As the effect of the reduction of 19 nm, the OPE reduced to 15.2 nm by the effect of the top coatings (TCs) and to 3.8 nm by the optical characteristics. An impact of about 5 nm on the OPE was confirmed by the process parameters-film thickness and the pre-bake temperature of the TC. In the case that the solvent was replaced with a high boiling point solvent, the impact changed from 5 to 20 nm further, the replacement of the solvent had a considerable impact on the OPE. However, this influence differs considerably according to the kind of resists; further, it was shown that the addition of acid materials and a change in the polymer base resulted in a high impact on the OPE for a certain resist. Thus, it was demonstrated that the selection of TC is very important for the OPE in immersion lithography.
The single layer chemically amplified resists are investigated for the extreme ultra-violet lithography. From the results of the sensitivity curve, the positive-tone resist of DP603 and the negative-tone resist of SAL601 have high sensitivities and high gamma values to the EUV exposure wavelength. Furthermore, by the optimization of both the dosage and the wafer focusing position, we succeed in replicating 0.056-micrometer-resist- pattern width on the exposure-field size of 10 mm X 1 mm on an 8-inches-diameter wafer. We confirm the resolution capability of the three-aspherical mirror imaging system that has been developed by the Himeji Institute of Technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.