As the most aggressive features in advanced memory designs continue to shrink, so does the overlay budget. The number of layer stacks also creates unwanted topography, and the alignment robustness of lithography tools becomes much more important for on-product overly. Canon developed a through-the-mask moiré alignment system for the FPA-1200NZ2C nanoimprint lithography (NIL) system allowing high-speed measurement of several alignment marks within each imprint field and alignment compensation to be completed during the imprinting sequence. To provide increased process flexibility and overlay accuracy while maintaining high-productivity, we have developed a new low-noise and high-resolution moiré diffraction alignment system based on spatial phase interferometry. In this paper, we report on the TTM detection system used in FPA-1200NZ2C. In particular, the principle of moiré detection and the improvement of the detection method will be described. The measurement error of moiré is analyzed by a simplified model calculation and we confirmed the relationship between process change and alignment error. Results of analyses proved that selection of the wavelength are key factors for optimizing alignment accuracy. Based on these results we applied the following improvement items: 1) Dual Dipole illumination, 2) Optimization of the alignment wavelength. We evaluated the new alignment system measurement error by comparing the moiré measurement value with the measured overlay values for 24 wafers and confirmed that new TTM alignment system can reduce to the measurement error more than 40%. The data shows that our moiré measurement system can provide process robustness and can support mass-production of leading-edge memory products.
A method to resolve 20nm node of SRAM contact layer whose minimum pitch is 90nm with enough process latitude is
shown. To achieve the target by single exposure under condition of ArF and 1.35 of NA a way to optimize lithography
parameters and layout parameters simultaneously is applied that is called co-optimization. At first the memory cell is
optimized from several viewpoints of device and lithography, and then the entire memory cell block including the array
circuit is optimized. It proves that combination of co-optimization and insertion of SRAF works very well considering
the appropriate printed shape required by the device layout. The co-optimization is compared to such a conventional
method as OPC. The performance is better than conventional OPC. Especially the MEFF is much better and the
evaluation to find the mechanism is shown. It proves that complex patterns with many fragments make MEEF higher.
The superior characteristics of co-optimization are analyzed by the result of Linear Programming that can find the strict
solution. The pixel source shape has become almost same as one by co-optimization. The solution is achieved by binary
mask with simple patterns and the simple source shape. It is crucial for COO.
Achieving 20nm designs with 193nm lithography is difficult even with immersion technology. At 20nm, the metal-1
pitch will be ~64nm, which is well below the 80nm limit for single exposure. In this work we extend on our earlier
results [1-4] to show simulation-based patterning of both SRAMs and logic cells. This is consistent with the emerging
industry consensus that regular designs and multiple exposure techniques will extend 193nm immersion as far down
as 7nm [5].
The approach relies on 1D Gridded Design Rules with Lines/Cuts (1D GDR LC) selective double patterning. Due to
the highly regular patterns of 1D GDR LC we are able to determine a sharp lithographic optimum as a result of
numerical co-optimization of key layout parameters and lithography settings such as scanner illumination, etc.
including realistic scanner capability.
Critical layers (holes/cuts in 1D GDR LC) consist of a number of identical hole/cut patterns with varying density. We
propose a novel algorithm for full-chip proximity correction of such critical layers. The algorithm consists of 1) a
source-mask optimization step (SMO) to choose optimal scanner settings for a class of designs using standard cells,
followed by 2) a final correction step applied to the entire layout to determine individual sizing for each cut to componsate
for its optical/process environment. This procedure converges rapidly in our test cases producing close to
0nm CD error for each cut. Several test designs including one with approximately 100k transistors using ~20 cells
from a standard cell library including both SRAM and logic cells were used, with good convergence obtained in all
cases.
Out procedure is a combination of an SMO step followed by cuts-OPC, the equivalent to OPC applied to cuts of 1D
GDR LC designs. The procedure scales linearly with layout area and can be efficiently applied to full-chip designs.
Instead of conventional SMO that iterates illumination source optimization and OPC, new optimization method is
introduced that optimizes illumination source and device layout simultaneously. In this method the layout is described by
a function of layout parameters that defines the layout characteristics and the layout parameters are combined with
source parameters, which forms a composite space of optimization. In this space the source and layout are optimized
simultaneously. This method can search the steepest slope to the solution in the space during optimization, which is
impossible for the conventional SMO. So it can reach the real solution with less probability of being trapped in local
solution. This technology is applied to some cases of lithography targets such as CD and DOF, and good results are
attained with very simple mask. It also works for diagonal patterns that OPC cannot handle easily. In addition more
complicated lithography target such as robustness against MSD of scanner stage vibration is addressed and the
optimization result is useful to resolve problems caused by fluctuation of manufacturing.
Methods to improve accuracy of pattern matching are investigated with our software tool (k1 TUNE). Since pattern
matching handles experimental data, resist simulation accuracy, SEM measurement accuracy, and identification of
illumination situations used in the software and set in actual scanners are crucial. The methods to reduce their error are
proposed. In addition to reducing them, a subtracting method is used to compensate them for better pattern matching.
The effectiveness is certificated experimentally with accuracy of 0.010 sigma of illumination and 1~2nm of CD.
Furthermore an illumination that keeps CDs constant under defocus is optimized, and the characteristics are confirmed
experimentally.
By using the software tool under the proposed ways, real pattern matching at fabrication lines has been possible with
good accuracy, few retry, and consideration of defocus characteristics.
Wavefront aberrations of the projection optics induce unignorable focus and overlay errors dependent on the shape of the
device pattern and illumination settings. Thus, the 32nm node and the subsequent double patterning lithographic
generation require ever more stringent control of aberrations. For the most recent exposure tools with polarized
illumination and high throughput capabilities in particular, due attention needs to be paid to the influences of aberrations
caused by polarization and exposure load. A system for measuring and correcting polarization aberrations and lens
heating aberrations has been developed, and its technical details and application examples are presented in this paper.
Furthermore, improvement in aberration control on the next generation exposure tool compatible with double patterning
is stated as well.
In addition to hardware performance enhancement of exposure tool, new functions are needed to be developed to meet
the required performance for realizing double patterning. New functions to improve overlay accuracy are advanced
distortion control and stage control. We have developed a real-time lens magnification control system to enhance
distortion control, which can make peel type, barrel type and trapezoid type of distortion shape, resulting in improving
intra-shot overlay accuracy. Wafer stage grid control function can compensate for shot shift, shot rotation and
magnification for each single shot, realizing drastic advancement in overlay accuracy. As for CD performance
improvement, dose optimization is effective to compenste for CD uniformity according to CD metrology data from
processed wafers. On the other hand, process window enhancement is performed by optimizing illumination mode with
Canon's solution software k1 TUNE. In this paper, we will introduce these new functions.
With the recent scaling down of k1 factor, the importance of illumination systems for lithographic exposure tools has
been growing rapidly. This paper addresses OPC matching technology and polarized illumination that draw special
attention for illuminators for 45nm node lithography applications. In the first half of the paper, OPC matching
technology is reported. It is considered that less tolerance will be given to matching errors in the 45nm node and the
need for matching of individual errors inherent in exposure tools may arise. In this paper, the MDI method, a method
of OPC matching through direct evaluation of the effective light source, is proposed presenting its benefits. This
method enables on-site accurate matching. The latter half of the paper reports on polarized illumination which is
regarded as a standard technology in hyper-NA lithography regions. We have scrutinized the polarized illumination
performance required to obtain excellent printing quality, and clarified polarization performance indicators that need to
be assessed and controlled. As a result, it has been found that such indicators to be assessed at the mask level need to
include the phase difference between the two orthogonal polarization components as well as the degree of polarization.
In this work, we demonstrate a resolution enhancement technique for DUV lithography in which the light source spectrum is modified in order to improve the imaging performance of given device patterns. With this technique, termed RELAX, the imaging depth of focus (DOF) can be improved significantly for contact holes, and potentially line-space patterns. The improvement in the DOF comes at the expense of modest deterioration of other process performance metrics, such as exposure latitude and exposure bias, due to reduced image contrast at best focus. Compared to the FLEX-based techniques, RELAX allows a continuum of tunable spectral conditions without the drawback of multiple exposure passes, which is especially critical for step-and-scan lithography. Spectrum modification is accomplished by replacing the line narrowing and wavemeter modules of the excimer laser light source with RELAX-enabled modules. Direct wavefront modification of the laser output has been demonstrated to provide the optimum method for producing a double peak spectrum, which simulation has shown to produce the maximum DOF benefit. Results from imaging experiments of attenuated-PSM contact structures exposed using 248nm dipole illumination showed DOF improvements of up to 70% with a double peak separation of about 2pm. Lateral chromatic effects at this separation were negligible. These results agreed well with previous double exposure experiments1 and simulations of some of the design structures. The process improvements were obtained without a need for re-biasing of the mask structures, although a dose adjustment was required.
Immersion lithography systems with a 193 nm light source are being pursued in the industry. This paper presents the results of the study we have made on various aspects of the exposure system, and gives the status of exposure system development together with the challenges involved. If there are fluctuations in the flow rate of immersion fluid, i.e. ultrapure water, the positioning accuracy of the wafer stage may be affected. Similarly, temperature changes in the fluid can significantly influence imaging performance of the projection optics. We have developed an ultrapure water supply control system which allows direct connection to the ultrapure water line of the existing fabs and enables constant-temperature, constant-flow rate control of the water with high stability. The evaluation results of this system will be shown. Photoresist materials such as photo-acid generator, PAG, dissolved into the water are a cause of concern for lens contamination. The challenge for exposure tool suppliers in terms of contamination control is to specify the permissible dissolution amount. To this end, wet contamination tests are in progress, and the findings to date will be discussed in this paper. Two verification tools for immersion exposure are built: a two-beam interference exposure tool and a full-field alpha-site scanner. Using the alpha tool, the evaluation results of full wafer CD uniformity including edge dies will be presented. Also, defect analysis results will be shown, specifically the impact of air bubbles on patterning.
Extensive testing of fused silica has been completed over the past few years by several researchers. A generalized model has been proposed that describes both the compaction that occurs at high peak intensities and the rarefaction that occurs at lower peak intensities. An exposure setup has been constructed to help verify these damage models and to determine the fitting parameters for various types and processing of fused silica. This setup simultaneously exposes samples to two sets of intensities, each set with a different integrated-square pulse width. To make these tests relevant to the microlithography community, the energy densities chosen for these tests span the range found in the projection optics of a 193nm excimer laser-based microlithography tool (50μj/cm2 - 400μj/cm2 ). The samples have been exposed for 40 billion pulses with wavefront measurements made periodically with both 633nm interferometry and 193mn interferometry. This large number of pulses represents the equivalent of several years worth of lens exposure. Data for several fused silica samples will be presented and comparisons will be made to the proposed damage model. According to the obtained parameters, optical performance will be estimated during long term laser exposure on the projection optics. We take into consideration actual light intensity on each lens element for several illumination conditions. We will discuss the difference of the impact on optical performance between different fused silica materials, illumination conditions, reticle transmission, pulse durations, number of pulses, and so on.
We have developed an ArF scanner with 0.7NA, the FPA- 5000AS2, to meet the requirements of the semiconductor industry. The biggest improvement of this system from the previous model is its projection optics. The new projection lens design allows residual aberrations to be extremely small in order to satisfy the requirements of increasingly severe device production. Furthermore, the aberrations derived from the manufacturing process are minimized in the same manner as conventional i-line and KrF lenses by precisely measuring them with a phase measuring interferometer (PMI). To reduce manufacturing-induced aberrations, we calculate various components of imaging performance at each lens manufacturing process and feed them back to the tuning process. Focusing only on aberration in the expression of root mean square (RMS) can never be sufficient for optimal aberration reduction. Lens performance can be optimally improved by gaining a balance among Zernike terms, which represent aberrations, for critical dimensions of various device patterns. It helps us supply users with a projection lens having performance that meets their requirements. This paper reports on the imaging performance of the new lens for both static and dynamic exposure as well as simulation results using PMI data. It also presents the mechanical barrel system that holds the high performance projection lens, intrinsic birefringence (IBR) of CaF2, and leading-edge ArF lens technologies such as chemical clean technology. And imaging performance of the newest 0.75 NAArF projection lens is demonstrated.
To implement low-k1 lithography, it is most fundamental to reduce aberrations of projection lenses for the exposure tools, not only in the optical design, but also in the manufacturing process. This paper will reveal a new lens manufacturing concept utilizing Zernike circle polynomials to overcome such difficulties. Sets of Zernike coefficients are used to describe the surface accuracy of each element or wavefront aberrations of assembled lens, and each coefficient itself becomes the target of element polishing or lens tuning. Adopting these targets is the most effective way to control actual optical performance and result in a great improvement of the projection lenses. We present some topics of our new manufacturing process and the performance progress up to our latest KeF stepper, FPA-3000EX6.
As the 130 nm era is approaching, requirements for lithography are becoming more and more rigorous. We have developed a 193nm scanner for below 130nm geometries capable of handling either 200 mm or 300 mm wafers. This paper describes the lithography tool performance required for printing 130nm features, focusing on a new 193nm excimer laser exposure tool developed for that age.
Relative position between the projected image on the wafer and the wafer itself changes during exposure. Factors of change are, for example, stage control error, difference of scanning direction between wafer stage and reticle stage (skew) and distortion of projection optics. We can define a kind of probability density function (PDF) concerning these changes of relative position. Fourier transform of this PDF is the transfer function of image transformation by relative motion. In this paper, we call this transfer function MoTF. The modulation of MoTF becomes a barometer of image contrast and the phase of MoTF gives position deviation (distortion). By analytical study of MoTF, standard deviation and expected value of said PDF are found to be the key parameters. Derived approximate equation in this paper agree with a computer simulation result of image contrast deterioration by vibration. With these studies, we can establish adequate specifications of scanning stage control demanded by imaging performance. Canon has developed a new stage structure for scanning exposures. By this structure the wafer stage is separated from main body on which projection optics and measurement systems are mounted so that reaction forces of stage acceleration can not be transferred directly to the maim body. With this structure we achieved excellent stage performance which has achieved imaging performance below 0.18 micrometer with high speed scanning.
The i-line stepper is the major manufacturing tool for the exposure of critical levels in the 16 Mb DRAM. There is great advantage to extend the resolution of this technology to the 0.35pmregime in order to use it in the manufacture of 64 Mb DRAMs or beyond. The advent of off-axis illumination systems and the use of optical interference patterns on the reticle (either phase shifting or subresolution) have shown great promise in allowing such an extension to practical manufacturing applications. However, the modification of reticles to provide the optical interference can be very costly. Phase shifting levels add complexity to the reticle manufacturing and can introduce defects that are difficult to detect with presently available equipment. While the use of subresolution artifacts alleviate some of these problems, their small size and precise positioning requirements make writing time on presently available reticle generating tools somewhat prohibitive. This paper describes a particular pattern of subresolution artifacts that are effective when used with Canon's CQUEST off-axis illumination system for printing 0.4 pm contact holes and can be efficiently added to reticles using the Lepton EBES4 Reticle Generator.
In the last few years, i-line lithography has made remarkable progress. It is based on the development of high-contrast i-line resists and of high-NA lenses owing to improvement of the transmittance of glass for i-line. It is generally assumed that i-line steppers will play a major role in the production of 16 Mbit DRAMs. For half-micron production, CANON has developed a new i-line lens with a high NA of 0.52 and a wide image field 28.28 mm in diameter. This paper first reports on the image performance of this lens for half-micron, and then describes the distortion characteristics and magnification stability of the lens. In the last section, the possibility of i-line lithography of sub-half-micron referring to the experimental results on 0.4 micrometers L/S applications are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.