A new application in the semiconductor industry that received quite some traction the past few years is bringing the transistor power delivery network to the backside of the wafer. The big gain of this change is that it frees up real estate on the frontside of the wafer, enabling a further increase of the transistor density. This so-called Back-Side Power Delivery Network (BS-PDN) application is quite challenging since it requires a direct wafer-to-wafer bonding process module. To get access to the transistor from the backside, a device wafer needs to be flipped and bonded to a carrier wafer followed by an annealing step. After these processing steps, the original substrate of the device wafer is removed by grinding and etch steps. This will enable access to the transistors from the backside of the wafer. The wafer processing continues by conventional layer deposition, lithography and etch steps, this time on the flipped wafer. Unfortunately, the bonding process module that includes the actual direct wafer-to-wafer bonding step itself, will also introduce a distortion in the device layer that has been transferred to the carrier wafer. Since the on-product overlay requirement for the first exposed layer on the backside to one of the front-side layers is tight (<10-nm today and <<5-nm in the foreseeable future), a deep understanding of the origin of the distortion fingerprint after bonding is required. In our previous work, we presented a method to isolate the distortion fingerprint due to bonding from the remaining other overlay contributors. The fingerprint we observed after linear corrections had a typical magnitude ranging from 50 to 80-nm. A clear 4-fold symmetry was observed that could be attributed to the crystal orientation of the (100) silicon substrate. We demonstrated that the scanner wafer alignment model is very capable of correcting the global 4-fold wafer distortion fingerprint. Residual levels of less than ~15-nm were shown. These residuals could be further reduced by applying a correction per exposure (CPE) recipe. We showed that performance levels of less than ~6-nm (99.7%) and ~10-nm (max) could be achieved after a 33-parameter per exposure field self-correction. The resulting wafer plots nicely revealed how to improve the overlay performance further. An increased level of residuals was found in the wafer center and at the wafer edge. In the current paper, we build upon our previous work and continue the investigation on the remaining overlay contributors that were identified previously. This time, the focus will be on the local wafer deformations that are visible after the direct wafer-to-wafer bonding step. By local we mean the distortions that manifest themselves over a very short spatial range. These local distortions cannot easily be corrected by the scanner and are typically present close to the wafer center and the wafer edge. We know that the local wafer deformation close to the wafer center is caused by the bonding pin that initiates the bond wave. To characterize the center distortion signature, we varied many experimental parameters to see the impact. We will show the impact of the die layout, the rotation of the top wafer by a 45-degrees, wafer surface properties, and substrate choice of the carrier wafer. The latter is interesting, we evaluated both (100) and (111) carrier wafers. Although the prime focus will be to improve the overlay performance on the center of the wafer, we monitor the impact of the experimental settings on the wafer edge and remaining part of the wafer as well. We present a path forward to mitigate the local distortions such that they will not be blocking for high volume production.
Electron beam (E-beam) direct write (EBDW) lithography is a worldwide reference technology used in laboratories, universities, and pilot line facilities for research and development. Due to its low writing speed, EBDW has never been recognized as an acceptable industrial solution, except for optical mask manufacturing. Nevertheless, its natural high-resolution capability allows for low-cost patterning of advanced or innovative devices prior to their high-volume manufacturing ramp-up. Due to its full versatility with almost all types of chemically amplified resists, EBDW is a perfect complementary solution to optical lithography. We demonstrate the compatibility of EBDW lithography with advanced negative tone development resists and the possibility of setting up a hybrid E-beam/193i lithography process flow with high performance in terms of resolution and mix and match overlay. This high-end lithography alliance offers flexibility and cost advantages for device development research and development, as well as powerful possibilities for specific applications such as circuit encryption, as discussed at the end of our study.
KEYWORDS: Electron beam direct write lithography, Lithography, Semiconducting wafers, Electron beam lithography, Optical alignment, Overlay metrology, Optical lithography, Design and modelling, Photoresist processing, Deep ultraviolet
Electron Beam Direct Write (EBDW or E-Beam) Lithography is a worldwide reference technology used in laboratories, universities and pilot line facilities for Research and Developments. Due to its low writing speed, E-Beam direct write has never been recognized as an acceptable industrial solution, exception made for optical mask manufacturing. Nevertheless, its natural high-resolution capability allows low-cost patterning of advanced or innovative devices ahead of their high-volume manufacturing ramp-up. Thanks to its full versatility with almost all type of chemically amplified resists, EBDW is a perfect complementary solution to optical lithography. This paper demonstrates the compatibility of EBDW lithography with advanced Negative Tone Development (NTD) resist and the possibility to set-up an hybrid E-Beam/193i lithography process flow with high performances in terms of resolution and mix & match overlay. This high-end lithography strategy alliance offers flexibility and cost advantages for device development R&D but also powerful possibilities for specific applications such circuit encryption as discussed at the end of this work-study.
Apart from the ever-continuing lateral scaling in the xy-plane to increase the transistor density, additional new concepts find their way to the semiconductor industry too. These concepts are based on making more use of the third dimension. One relatively simple idea would be to create a second layer of transistors to double the transistor density. However, the material requirements are high and the quality of the layer deposition by conventional Chemical Vapor Deposition (CVD) techniques is insufficient. Another application to free up real estate, enabling a smaller cell size and hence an increased transistor density, is to power-up the transistors from the backside. The power rails for logic devices are historically defined in the first Metal layer and consume quite some space. Bringing the power rails to the backside will free up space. However, access to the transistor layer from the backside of the wafer is far from trivial due to the presence of a 775-μm thick silicon substrate. The answer to the challenges mentioned above is wafer-to-wafer direct bonding. Although this technique is not new and already widely used in the semiconductor industry to manufacture CMOS Image Sensors (CIS), it currently finds its way to the high-end logic markets. In case of layer transfer, a crystalline silicon layer is created by bonding a Silicon-On- Insulator (SOI) wafer to the already existing device wafer. After the bonding step, the substrate of the SOI wafer will be removed leaving the crystalline silicon layer behind. Access to the transistor layer from the wafer backside can be enabled by wafer-to-wafer bonding as well. To this end, a completed device wafer will be bonded to an (un-patterned) carrier wafer. The substrate of the original device wafer will be removed, enabling access from the backside. Wafer-towafer bonding applications can only be enabled in case the induced wafer deformations are low or when they can easily be corrected during the subsequent exposures on the scanner. At CEA-Leti, a dedicated test vehicle process flow has been developed to characterize the wafer bonding-induced distortion fingerprints for both the layer transfer and the backside power delivery network applications. The wafer process flow has been simplified without losing the industry relevant on-product overlay challenges. Wafers have been created to enable an extremely dense characterization of the wafer bonding induced fingerprint. The methodology we applied enables us to isolate the wafer bonding induced distortion fingerprint, something that is difficult to do in a production environment. The Back-Side Power Delivery Network (BS-PDN) application is the most challenging one. The initial raw measured wafer distortion fingerprints are around 60 to 80-nm. These numbers can already be easily brought down by scanner corrections to ~15-nm (mean+3σ) without too much effort. However, these numbers are too large for the 2-nm technology node and beyond, and further improvement is required. The goal of this paper is to present the path forward to bring the bonding induced wafer distortion levels to 10-nm and below. We show the capability of the latest and greatest EVG bonding tool hardware and recipe settings available at the time of running the experiments in combination with the correction capability an ASML 0.33NA scanner.
Densification and reduction of lithographic features sizes keeping low defectivity is one of the biggest challenges in the patterning area. In order to extend 193 immersion capabilities and meet advanced applications needs, multi exposure image mode is a promising option for non-high volume manufacturing. It allows from a unique pattern with a fixed critical dimension (CD) and pitch, to obtain more dense patterns in a large surface without any process loop of standard flow, a huge benefit compared to litho-etch-litho-etch (LELE) approach. The study carried out explores this method with a specific design of pillars array printed using Negative Tone Development (NTD). The multi-image option relies on exposing multiple times the same initial pattern with a low image-to-image overlay. Based on intrinsic scanner performances, imageto-image placement error should be less than two nm. In this paper, many functionalities are explored to customize patterns from a single and unique mask design. One stake is to transfer (into silicon) a 2 mm * 2 mm pillar array design with a pitch divided by two, covering a wide surface on a 300 mm wafer and answering overlay and stitching requirements. Final results give well defined pillars which intra-wafer CD uniformity (3σ) satisfies application process requests. By using a flexible multi-image mode, mask constraints (cost and quality) can be relaxed, i.e. with a larger pitch structure on the reticle than the targeted one, final feature can be achieved. This development can be extended to hybrid lithography such as NanoImprint Lithography (NIL) or specific applications such as optics.
Continuous shrinking of semiconductor manufacturing node requires smaller critical dimension (CD) and higher pattern density, but also a better control of pattern local variability such as local CD uniformity (CDU). Thus, improving the process stability has been shown to improve local variability. Shrinking makes also crucial to control the process-specific patterned defectivity, with a more demanding defect detection and removal effort, which will depend on the mask level and stack materials. Therefore, integrating a new process in a recently installed immersion lithography cluster requires a thorough study of the influence of the track parameters in the specific process flow, as well as the use of last generation optical defectivity inspection, review and classification tools. In this work, we present the main results in the cooptimization of CD control, CD uniformity and after development inspection (ADI) of defectivity in a PTD immersion lithography process. The mask used is a gate layer targeting 42nm dense lines using a trilayer with topcoat lithographic stack.
Reducing the overlay error between stacked layers is key to enabling higher pattern density and thus moving towards high performance and more cost effective devices. However, as for specific applications like macrochips with photonic interconnects and high-resolution image sensor flat panels with advance polarizers, customers require product field sizes that are larger than the maximum field size available on scanners. Those large fields are obtained by stitching together multiple standard fields. The overlay performances between two adjacent dies are as aggressive as what is usually required between two stacked layers. For this application, the well-established polynomial overlay model is not suitable as the displacement is measured relatively and the metrology sampling in the field is such that some high order nonlinear (K) terms cannot be modeled independently. Furthermore, a perfect grid is needed in mix and match production. The intrafield correction capability of the exposure tool is not the same for each process steps. For example, no intrinsic K13 can be printed for a mix and match process flow that includes an Extreme Ultra-Violet (EUV) litho step. In addition, some KrF scanners with fewer lens manipulators cannot correct for K9. Measuring the stitching and correcting it at the first layer will prevent printing K terms that are not correctable later in the process. In this paper, the need to characterize and control single-layer overlay among different pattern placement mechanisms intrinsic to the scanner was studied: optical aberrations, field-to-field position, mask placement and registration. An ASML set-up BP-XY-V3 reticle was used to generate a large experimental dataset to validate stitching models supported by Overlay Optimizer (OVO). Overlay measurements were done Resist-in-Resist using new YieldStar (YS) interlaced stitching Diffraction Based Overlay (μDBO) targets that were designed and validated. This paper will present on product metrology results of a scatterometry-based platform showing production results with focus not only on precision and on accuracy, but also assessing target performance and target-to-target delta without process influence. A high order stitching model was developed and verified on a Multi-Product Reticle for a large device application. Trench width control at the field intersection was studied then optimized with proximity correction to ensure a perfect field-to-field junction.
In this paper the bias table models for the wafer scale SmartNIL™ technology are addressed and validated using complete Scanning Electron Microscopy (SEM) characterizations and polynomial interpolation functions. Like the other nanoimprint lithography (NIL) technics, this replication technology is known to induce Critical Dimension (CD) variations between the master and the imprint, due to polymer shrinkage, soft stamp deformation or thermal expansion. The bias between the former and final object follows peculiar rules which are specific to this process. To emphasis these singularities, Critical Dimension (CD) uniformity analyses were analyzed onto 200 mm wafers imprinted with the HERCULES® NIL equipment platform. Dedicated masters were manufactured to capture the process signatures: horizontal and vertical line arrays, local densities ranging from 0.1 to 0.9 and minimum CD of 250 nm. The silicon masters were manufactured with 248 optical lithography and dry etching and treated with an anti-sticking layer from Arkema. CD measurements were made for the master and the replicates on 48 well selected features to build interpolations. The bias table, modelled by polynomial functions with a degree of 5 for the density and a degree of 3 for the CD, are compared between horizontal and vertical features, and between the center and the edge of the wafers. Finally the focus is made on the validation of the interpolations by comparing the computed bias and the experimental data.
In this paper the bias table models and rules-based correction strategies for the wafer scale nanoimprint lithography (NIL) technology are addressed using complete Scanning Electron Microscopy (SEM) characterizations. This replication technology is known to induce Critical Dimension (CD) variations between the master and the imprint, due to polymer shrinkage, soft stamp deformation or thermal expansion. The bias between the former and final object follows peculiar rules which are specific to this process. To emphasis these singularities, Critical Dimension (CD) uniformity analyses are performed onto 200 mm wafers imprinted with the HERCULES® NIL equipment platform. Dedicated masters were manufactured which have horizontal and vertical line arrays, with local densities ranging from 0.1 to 0.9, with a minimum CD of 250 nm. The silicon masters were manufactured with 248 optical lithography and dry etching and treated with an anti-sticking layer from Arkema. CD measurements were made for the master and the replicates on 48 well selected features to build an interpolation. The data revealed that the CD evolutions can be modelled by polynomial functions with respect to the density, the CD and the orientation (vertical or horizontal) on the GDS. Finally the focus is made on the dependence of the design rules with respect to the position on the master, and it opens the discussion on the strategies for efficient wafer scale corrections for the nanoimprint soft stamp technologies.
KEYWORDS: Directed self assembly, Lithography, Line width roughness, Nanoimprint lithography, Semiconducting wafers, Etching, Electron beam lithography, System on a chip, Critical dimension metrology, Photoresist processing
In the lithography landscape, EUV technology recovered some credibility recently. However, its large adoption remains uncertain. Meanwhile, 193nm immersion lithography, with multiple-patterning strategies, supports the industry preference for advanced-node developments. In this landscape, lithography alternatives maintain promise for continued R&D. Massively parallel electron-beam and nano-imprint lithography techniques remain highly attractive, as they can provide noteworthy cost-of-ownership benefits. Directed self-assembly lithography shows promising resolution capabilities and appears to be an option to reduce multi-patterning strategies. Even if large amount of efforts are dedicated to overcome the lithography side issues, these solutions introduce also new challenges and opportunities for the integration schemes.
The effectivity of 193nm photoresists as dry etch masks is becoming more and more critical as the size of integrated
devices shrinks. 193nm resists are known to be much less resistant to dry etching than 248nm resists based on a
poly(hydroxystyrene) polymer backbone. The decrease in the resist film budget implies a better etch resistance to use
single layer 193nm photoresists for the 65nm node and beyond. In spite of significant improvements made in the past
decade regarding the etch resistance of photoresists, much of the fundamental chemistry and physics that could
explain the behaviour of these materials has to be better understood. Such knowledge is necessary in order to propose
materials and etch processes for the next technology nodes (45nm and below).
In this paper, we report our studies on the etch behaviour of different 193nm resist materials as a function of etch
chemistry. In a first step, we focus our attention on the interactions between photoresists and the reactive species of a
plasma during a dry etch step. Etch experiments were carried out in a DPS (Decoupled Plasma Source) high density
chamber. The gas chemistry in particular was changed to check the role of the plasma reactive species on the resist. O2,
Cl2, CF4, HBr and Ar gas were used.
Etch rates and chemical modifications of different materials were quantified by ellipsometry, Fourier Transformed
Infrared Spectroscopy (FTIR), and X-Ray Photoelectrons Spectroscopy (XPS). We evaluated different materials
including 248nm model polymer backbones (pure PHS or functionalized PHS), and 193nm model polymers (PMMA
and acrylate polymers) or resist formulations. Besides the influence of resist chemistry, the impact of plasma parameters
was addressed.
The weaker etch resistance of 193 nm resists1 is raising questions concerning their usability for the coming nodes as a single layer resist. We have found that 193 nm positive tone resists, that have been designed2 incorporating etch resistant groups like adamantyl or isobornyl3-7, exhibit chemical modifications concerning these grafted functions while undergoing an oxide etch step. Previously performed experiments have pointed out that the photoacid generator (PAG) that is still contained in the unexposed regions of the sacrificial layer might be a reason for the modifications in the chemical buildup of this resists. Therefore, this work has focused on evaluating the impact of reactive ion oxide etching8-10 on 193nm materials, for positive and negative tone chemically amplified resists. We used Thermo Gravimetric Analysis (TGA), Fourier Transformed Infra Red Spectroscopy (FTIR) and Atomic Force Microscopy (AFM) in order to check model formulations based on PHS, methacrylate or cyclic olefin polymers with various protecting groups having different activation energies and formulated with or without PAG and in order to understand the impact of the photoactive compound in the resist degradation behavior during plasma etch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.