The optical train is a key element of each lithography scanner. The single patterning resolution limit of a scanner is determined by the characteristics and performance of its imaging system consisting of illumination and projection optics. In this paper, we present status and performance parameters of the next generation “High-NA EUV” optical system. Further, we discuss the current NA 0.33 optical system, where key parameters have been improved to support higher productivity in volume production. Additionally, the EUV roadmap and further progress of our developments will be shown.
To enable cost-effective scaling of technology nodes and extend Moore’s law for at least another decade, ASML has developed the High NA EUV platform. With an increase of the numerical aperture (NA) from 0.33NA to 0.55NA, High NA EUV brings multiple benefits to the semiconductor market, such as reduction of process complexity, yield improvement and higher resolution. This paper presents the High NA EUV ASML roadmap, providing a comprehensive overview of the systems being developed to support our customers’ nodes, and showing how we maximize 0.33NA (NXE) and 0.55 NA (EXE) platforms commonality, making High NA an evolutionary step on EUV technology. We will also give an overview of the progress and status of the first High NA EUV platform, the EXE:5000. Several systems have been now fully built in the ASML factory, which deliver the first performance data and integration learnings to support shipment to our customers. In parallel, first common learnings from the imec - ASML joint High NA Lab will be reported out, enabling early process development and accelerating the industry eco-system (mask, resist), as it is essential to the successful introduction of High NA EUV.
With the first delivery from ASML to Intel end of 2023, the next generation of EUV systems with ZEISS optics has found its way to the first high-end chip manufacturer. The increased numerical aperture (NA) of 0.55 compared to stateof-the-art EUV optics with a NA of 0.33, the new generation of NA=0.55 EUV scanners allow to support Moore’s law for at least another decade. Besides the new NA=0.55 EUV systems, ZEISS and ASML also continuously improve the current EUV scanners by enabling higher throughputs combined with improved imaging performance. We will present an overview over the status and key facts of the new NA=0.55 EUV optics as well as the latest performance improvements achieved for EUV systems with NA of 0.33. Furthermore, we will provide a glance at the EUV optics roadmap and provide give an outlook on what can be expected for EUV optics in future.
To enable cost-effective scaling of technology nodes and extend Moore’s law for at least another decade, ASML has been developing the High NA EUV platform. With an increase of the numerical aperture (NA) from 0.33NA to 0.55NA, High NA EUV will bring multiple benefits to the semiconductor market, such as reduction of process complexity, yield improvement and higher resolution. This will be done while maximizing 0.33NA(NXE) and 0.55 NA (EXE) platforms commonality, making this an evolutionary step on EUV technology.
This paper gives an overview of the progress and status of the first High NA EUV platform, the EXE:5000, through its different development and build phases.
The EUV lithography optics program continues to enable the progress of the semiconductor roadmap with higher productivity and finer imaging resolution. ZEISS Starlith® lithography optics systems with a numerical aperture (NA) of 0.33 and an optical resolution of 13 nm half-pitch are being produced in high volume for integration into ASML´s NXE scanners, which have established themselves as industry workhorses in leading edge semiconductor manufacturing. Even finer imaging resolution will be achieved with the Starlith® 5000 for ASML´s EXE scanners, with NA = 0.55 and an optical resolution of 8 nm half-pitch, with highly flexible illumination and an anamorphic projection optics featuring a central obscuration. The first Starlith® 5000 illuminator and projection optics box have been delivered to ASML. The outlook includes future roadmap extensions for low-k1 imaging at increased productivity and potential further improvements of the single exposure resolution capabilities.
With already more than 160 EUV scanners operational worldwide, the promise of EUV lithography became a high-volume-manufacturing reality in the past few years. Moreover, EUV lithography has now become the main enabler for the latest generations of chips we all know and use. ZEISS and ASML keep on developing the capability of EUV tools to further enable upcoming generations of chips. The next step is an increase of the numerical aperture (NA) of our optics from currently 0.33 to 0.55. These high-NA tools will support the shrink prescribed by Moore's Law to continue well into the next decade, by allowing lithographers to print 8nm half-pitch in a single exposure. We will give an update on the current production status at ZEISS: not only on mirror surface polishing, coating, metrology, but also on mirror handling and integration. Moreover, we will also present the current status and prospects of 0.33-NA optics.
In recent years the promise of EUV lithography became a high-volume-manufacturing reality and is currently the main enabler for the latest generations of chips we all know and use.
To enable the future generations of chips, with smaller feature sizes ZEISS and ASML are developing a new generation of EUV tools, with an increased NA from the current 0.33 to 0.55 allowing the lithographers to print 8nm half-pitch in a single exposure.
In this presentation we will remind briefly on high-NA optics concepts as compared to its 0.33-NA predecessor. We will give insight into how advanced the current production status at ZEISS is: not only into mirror surface polishing, coating, metrology, but also mirror handling and integration as well as shipment. Moreover, besides what happens in high-NA program, you will also see the current status and ongoing improvements to 0.33-NA optics.
In recent years the promise of EUV lithography became a high-volume-manufacturing reality. With already more than 160 EUV scanners in the field worldwide (and counting!), EUV lithography has now a solid footing in market and is currently the main enabler for the latest generations of chips we all know and use. To enable the future generations of chips, with even smaller feature sizes than what we currently have on the market, ZEISS and ASML are developing a new generation of EUV tools, where the numerical aperture (NA) of their optics is increased from the current 0.33 to 0.55. These high-NA tools will allow the shrink prescribed by the Moore's Law to continue well into this decade, by allowing the lithographers to print 8nm half-pitch in a single exposure. In this presentation we will remind briefly on high-NA optics concepts as compared to its 0.33-NA predecessor. We will give insight into how advanced the current production status at ZEISS is: not only into mirror surface polishing, coating, metrology, but also mirror handling and integration as well as shipment. Moreover, besides what happens in high-NA program, you will also see the current status and ongoing improvements to 0.33-NA optics.
This conference presentation was prepared for Photomask Japan 2022: XXVIII Symposium on Photomask and Next-Generation Lithography Mask Technology, 2022.
For more than 50 years, Moore's Law has driven the steady shrink of feature sizes for integrated circuits. This development has been enabled by continuous resolution improvements of each generation of lithography scanners. Nowadays the most recent scanner generation is using EUV wavelength together with 0.33NA optics to image the patterning information of the mask onto the silicon wafer. They are used in high volume manufacturing to produce leading edge semiconductor devices. To further increase the resolution of EUV scanners ZEISS is working on next generation EUV optics with an increased NA of 0.55. This next generation optics consists of a highly flexible illumination system and projection optics with NA 0.55 enabling single-exposure sub 8nm half-pitch resolution to allow scaling beyond the next decade. In this presentation we will report on the status of the 0.33NA EUV optics being shipped in volume to the customer. Then we will give a short recap of the system design of the 0.55NA optical column and we will show the status of the high-NA program at ZEISS. We report on the high-NA infrastructure including mirror polishing, coating, surface figure metrology, mirror handling, and integration tooling. Progress in manufacturing of mechanics, frames, and mirrors for both illuminator and POB will be shown.
Background: A unique extreme ultraviolet (EUV-) scanner with a high numerical aperture (NA) of 0.55 was designed to enable printing of resolution lines with 8 nm half-pitch in a single exposure. The introduction of a central obscuration in the optics design reduces the angular load on the multilayer mirrors, enabling a high transmission and throughput. The central obscuration area has been minimized for best imaging, overlay, and transmission.
Aim: The wavefront is only available in the non-obscured area. This raises the question of how to describe such a wavefront.
Approach: We discuss the choice of fringe-Tatian basis functions to represent the wavefront for an obscured pupil. To make this choice, one needs to balance mathematical correctness while maintaining a simple and intuitive description.
Results: We provide a detailed analysis for selecting basis functions that are adequate to describe measured wavefronts on the non-obscured part of the pupil. This statement is supported by imaging simulations. A fast and stable evaluation of the chosen basis functions is presented. An adapted definition of the wavefront root-mean-square deviation for these functions is proposed; it has the advantage of being simple and independent of the number of basis functions used.
Conclusions: Because of the benefits of the proposed representation, the community is encouraged to use the same formalism.
To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with 0.55NA that enables 8nm HP resolution and a high throughput.
In this paper we will discuss the imaging performance and technology solutions to support our customers device roadmap from High-NA insertion towards low-k1 extension for critical Logic/MPU and DRAM layers. We will address various technology solutions that enable a high contrast through focus for decreasing feature size, such as mask stack optimization, computational litho solutions and advanced illumination shapes.
To further increase the resolution of EUV scanners ZEISS is working on next generation EUV optics with an increased NA of 0.55. This next generation optics consists of a highly flexible illumination system and projection optics with NA 0.55 to allow scaling beyond the next decade.
In this presentation we will present the status of the high-NA optics program at ZEISS. We report on the high NA infrastructure including mirror polishing, coating, surface figure metrology, mirror handling, and integration tooling. Progress in manufacturing of mechanics, frames and mirrors at ZEISS for both illuminator and POB will be shown.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are being applied in high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55.
The purpose of this so-called high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law for another decade.
A novel lens design, capable of providing the required Numerical Aperture, has been identified; this so called anamorphic lens will provide 8nm resolution in all orientations. Paired with new, faster stages and more accurate sensors providing the tight focus and overlay control needed it enables future nodes.
In this paper we will outline the advantages of High-NA, especially for managing the needed extreme low defect printing rates while maximizing the effective throughput for patterning economics. The imaging performance is being simulated based on expected surface figures of the illumination and projection optics. Next to this, an update will be given on the status of the developments at ZEISS and ASML. Buildings, cleanrooms and equipment are being constructed, mirror production is ramping up, many tests are carried out to ensure a smooth implementation.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are being applied in high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55.
The purpose of this so-called high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law for another decade.
A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future nodes.
In this paper we will outline the advantages of High-NA, especially for managing the needed extreme low defect printing rates while maximizing the effective throughput for patterning economics. The imaging performance is being simulated based on expected surface figures of the illumination and projection optics. Next to this, an update will be given on the status of the developments at ZEISS and ASML. Buildings, cleanrooms and equipment are being constructed, mirror production is ramping up, many tests are carried out to ensure a smooth implementation.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are entering high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The intent of this high-NA scanner, targeting a resolution of 8nm, is to extend Moore’s law throughout the next decade. The high-NA optical system, together with the developments in mask and resist, provides an increased contrast, key to control stochastic contributions to EPE and the rate of printing defects. A novel lens design, capable of providing the required NA, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. Impact on system architecture and proposed solutions are described in this paper. In addition, we give a status update on the developments at ZEISS and ASML.
The high NA=0.55 EUV scanner has an obscuration in the pupil. This has led to the choice to expand the aberration wave-front not in Zernikes anymore, but in other, orthogonal, basis-functions instead. The reasons for this choice and the description of the basis-functions will be discussed.
For each lithography scanner the optics is a key component. While the NXE:3400 with ZEISS Starlith®3400 optics at Numerical Aperture of 0.33 is entering high-volume manufacturing in customer factories, we are developing high NA optics with a Numerical Aperture of 0.55. This optics consists of a highly flexible illumination system and a projection optics enabling single-exposure sub 8nm half-pitch resolution. In this paper, we give an overview of the progress of ZEISS High-NA EUV program where production of first mirrors and frames has already been started.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are entering high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The intent of this high-NA scanner, targeting a resolution of 8nm, is to extend Moore’s law throughout the next decade. The high-NA optical system, together with the developments in mask and resist, provides an increased contrast, key to control stochastic contributions to EPE and error rate of printing defects. A novel lens design, capable of providing the required NA, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. Impact on system architecture and proposed solutions are described in this paper. In addition, we give a status update on the developments at ZEISS and ASML.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55.
The purpose of this high-NA scanner, targeting a resolution of 8nm, is to extend Moore’s law throughout the next decade.
A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes.
In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics concerning the interaction of the High-NA optics and its associated different magnifications on requirements for the mask, in particular defectivity, flatness, substrate thermal properties, novel absorbers, need for assist features, pellicle and stitching.
The optical train is a key element of each lithography scanner. The single patterning resolution limit of a scanner is determined by the characteristics and performance of its imaging system consisting of illumination and projection optics. The most relevant performance parameters of the illumination system are the maximum achievable setting flexibility, off-axis imaging capability (sigma) and pupil fill ratio (PFR). The key drivers of the projection optics are numerical aperture (NA), aberration level, and stray light.
In this paper, we present an overview of the current EUVL generation and the optical system, designed to improve resolution limit, contrast and overlay performance within the scanner. The projection optics features a NA of 0.33 with significantly reduced aberration levels. These key scanner parameters have been substantially reduced to improve overlay and image contrast supporting volume production.
While double patterning is a possible extension with the current generation of EUVL tools, there is still a strong desire to print smaller chip patterns directly. Therefore a next generation of EUV called “High-NA EUV” is already in preparation. This tool generation with an optical system -ZEISS Starlith®5000- will have a NA of 0.55 and a resolution of 8nm for direct printing of these finest features. Achieving 8nm resolution means tighter specifications for the wavefront. Therefore, extreme aspheres with improved accuracy of the mirror surface are needed that pose next to the large size of the mirrors and the anamorphic design another challenge to the optics manufacturing. To build these systems a completely new production infrastructure is needed which is under installation in parallel with the product design and technology development. In this paper the current progress of the development will be shown.
EUV technology with its state-of-the-art tool generation equipped with a Numerical Aperture (NA) of 0.33 and providing 13 nm resolution is on the brink of entering high volume manufacturing. Extending the roadmap down to a resolution of 8 nm requires a high-NA successor tool. ASML and ZEISS are jointly developing an EUV scanner system with an NA of 0.55 to enable the continuation of Moore’s law throughout the next decade. In this paper we motivate the top-level requirements of this high-NA tool, deduce implications on system design and present how they are solved in the tool. In particular, we address implications of the high-NA leading to large mirror sizes, introduction of a central obscuration and an anamorphic lens design resulting in the transition from full to half field. A consequence of the high-NA is a reduced depth of focus which is dealt with by an improved focus control of the system. The aberration level of the high-NA tool will be significantly reduced w.r.t. the NA 0.33 tool generation. This is achieved by extreme aspheres accompanied by an advanced mirror manufacturing process with corrections down to atomic scale. To enable mirror manufacturing to this precision the limits of mirror metrology are pushed out by transferring the whole measurement process into vacuum. Finally, we will give an update on the current status of the high-NA tool development and the build-up of the necessary infrastructure.
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed in a joint collaboration between ASML and Carl Zeiss SMT. The High-NA EUV scanner employs a novel Projection Optics Box (POB) design concept with a numerical aperture of 0.55 that enables 8nm half pitch resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer (ML) masks at increased NA, however also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen for minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% pupil fill ratio (PFR). In this paper, we will show that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE requirements of future nodes. We will show that the obscuration can benefit the imaging performance of via- and cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast. Further contrast enhancement is possible by introducing alternative absorber stacks.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55.
The purpose of this high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade.
A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes.
In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics inherent in the new design and smaller target resolution: M3D effects, polarization, focus control and stitching.
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of these future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with a numerical aperture of 0.55NA that enables 8nm HP resolution and a high throughput.
The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer masks at the increased NA, but also has implications on the system design and usage of the tool.
The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen such to have minimal impact on imaging performance.
Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% PFR.
Since imaging is done with unpolarized EUV light, so-called vector effects at high resolution need to be accounted for appropriately.
In this paper we will show the implications of the High-NA EUV system design on key performance metrics such as global CDU, pattern shift uniformity (overlay) and contrast for low local CDU at high throughput for several relevant use-cases.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and Zeiss are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55.
The purpose of this high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade.
A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes.
In this paper an update will be given on the status of the developments at Carl Zeiss and ASML. Next to this, we will address several topics inherent in the new design and smaller target resolution: M3D effects, polarization, focus control and stitching.
The mask plays a significant role as an active optical element in lithography, for both deep ultraviolet (DUV) and extreme ultraviolet (EUV) lithography. Mask-induced and feature-dependent shifts of the best-focus position and other aberration-like effects were reported both for DUV immersion and for EUV lithography. We employ rigorous computation of light diffraction from lithographic masks in combination with aerial image simulation to study the root causes of these effects and their dependencies from mask and optical system parameters. Special emphasis is put on the comparison of transmission masks for DUV lithography and reflective masks for EUV lithography, respectively. Several strategies to compensate the mask-induced phase effects are discussed.
The mask plays a significant role as an active optical element in lithography, for both EUV and immersion lithography. Mask-induced and feature dependent shifts of the best focus position and other aberration-like effects were reported both for deep ultraviolet (DUV) immersion and for EUV lithography. We employ rigorous computation of light diffraction from lithographic masks in combination with aerial image simulation to study the root causes of these effects and their dependencies from mask and optical system parameters. Special emphasis is put on the comparison of transmission masks for DUV lithography and reflective masks for EUV lithography, respectively.
New design solutions are available for high-NA EUV optics, maintaining simultaneously superior imaging performance and productivity below 9nm resolution by means of anamorphic imaging. We investigate the imaging properties of these new optics configurations by rigorous simulations, taking into account mask induced effects as well as characteristics of the new optics. We compare the imaging behavior to other, more traditional optics configurations, and show that the productivity gain of our new configurations is indeed obtained at excellent imaging performance.
In this paper we introduce new source-mask co-optimization (SMO) capabilities for EUV with specific support of the details of imaging with NXE:33×0 scanners. New algorithms have been developed that fully exploit the adjustability of the light distribution inside the NXE:33×0 flexible illuminator, FlexPupil. The fast NXE M3D+ model accurately predicts the reflective 3D mask effects and enables novel pupil symmetries and mask defocus optimization. This mitigates the H-V bias, Bossung tilt, and pattern shift caused by shadowing and non-telecentricity, and reduces the sensitivity to flare. New pupil optimization flows will be shown. The optimized pupils are fully compliant with NXE:33×0 scanner specifications. We will demonstrate enhanced imaging performance of this NXE specific SMO on 7 nm node logic cut masks and show benefits up to 20% improved CD uniformity, and a reduction in the maximum pattern shifts.
With higher NA (≫ 0.33) and increased chief-ray-angles, mask effects will significantly impact the overall scanner performance. We discuss these effects in detail, paying particular attention to the multilayer-absorber interaction, and show that there is a trade-off between image quality and reticle efficiency. We show that these mask effects for high NA can be solved by employing a reduction ratio <4X, and show several options for a high-NA optics. Carefully discussing the feasibility of these options is an important part of defining a high-NA EUV tool.
This paper investigates the performance of different mask options for sub-13 nm EUV-lithography with a 4x demagnification and an NA of 0.45. The considered mask options include standard binary masks, standard attenuated phase-shift masks, etched attenuated phase-shift masks and embedded-shifter phase-shift masks. The lithographic performance of these masks is investigated and optimized in terms of mask efficiency, NILS, DoF, OPC-performance and telecentricity errors. A multiobjective optimization technique is used to identify the most promising mask geometry parameters.
In this work, we use a high accuracy synchrotron-based reflectometer to experimentally determine the effects of angular bandwidth limitations on high NA EUV performance. We characterized mask blank and mask pattern diffraction performance as a function of illumination angle, scatter angle, and wavelength. A variety of pattern feature sizes ranging down to coded sizes of 11 nm (44 nm on the mask) are considered. A Rigorous Coupled-Wave Analysis (RCWA) model is calibrated against the experimental data to enable future model-based performance predictions. The model is optimized against the clearfield data and verified by predicting the mask pattern diffraction data. We thus have confirmed the degradation and asymmetry of diffraction orders at high AOI.
With high NA (>0.33), and the associated higher angles of incidence on the reflective EUV mask, mask induced effects will significantly impact the overall scanner-performance. We discuss the expected effects in detail, in particular paying attention to the interaction between reflective coating and absorber on the mask, and show that there is a trade-off between image quality and mask efficiency. We show that by adjusting the demagnification of the lithography system one can recover both image quality and mask efficiency.
Extreme ultraviolet lithography (EUVL) sources emit a broad spectrum of wavelengths ranging from EUV to DUV and
beyond. If the deep ultraviolet (DUV) reaches the wafer it will affect imaging performance by exposing the photoresist.
Hence it is critical to determine the amount of DUV out of band (OoB) present in a EUVL tool, as well as its effect on
the printed features on the wafer.
In this study we investigate the effect of DUV OoB in EUVL. A model is developed in order to be able to quantify the
DUV/EUV ratio at wafer level and all the required input parameters are estimated in the range from 140 to 400nm, as
well as for the EUV at 13.5nm. The transmission of the optical system was estimated based on the optical design and
reflectivity measurements of the mirrors. The mask reflectivity for multilayer (ML) and absorber was measured at
wavelengths down to 140 nm and for EUV. The sensitivity to EUV and DUV for a variety of resist platforms was
measured at 13.5 nm, 157 nm, 193 nm, 248 nm and 365 nm. The source spectra were also measured. By using these
inputs, it was possible to estimate the DUV/EUV ratio for two different ASML tool configurations, the EUV Alpha
Demo Tool and the NXE:3100. Both NXE:3100 with LPP (laser produced plasma) source and Alpha Demo Tool with
DPP (discharge produced plasma) source show less than 1% DUV/EUV ratio in resist.
The modeling predictions were compared to experimental results. A methodology is introduced to measure the
DUV/EUV ratio at wafer level in situ. With this aim, an aluminum coated mask was fabricated and its reflectivity was
qualified in both EUV and DUV wavelength range. By comparing the dose to clear exposures of a reflective blank and
of the aluminum mask, it is possible to quantify the DUV/EUV ratio. The experimental results are in order of magnitude
agreement with modeling predictions. The proposed experimental approach can be used to benchmark the DUV
sensitivity of different resist platforms and may be used to monitor DUV OoB.
The use of customized illumination modes is part of the pursuit to stretch the applicability of immersion ArF lithography. Indeed, a specific illumination source shape that is optimized for a particular design leads to enhanced imaging results. Recently, freeform illumination has become available through pixelated diffractive optical elements or through ASML's programmable illuminator system (FlexRayTM) allowing for virtually unconstrained intensity distribution within the source pupil. In this paper, the benefit of freeform over traditional illumination is evaluated, by applying source mask co-optimization (SMO) for an aggressive use case and wafer-based verification. For a 22-nm node SRAM of 0.099 and 0.078 μm2 bit cell area, the patterning of the full contact and metal layer into a hard mask is demonstrated with the application of SMO and freeform illumination. In this work, both pixelated diffractive optical elements and FlexRay are applied. Additionally, the match between the latter two is confirmed on wafer, in terms of critical dimension and process window.
The use of customized illumination modes is part of the pursuit to stretch the applicability of immersion ArF lithography.
Indeed, a specific illumination source shape that is optimized for a particular design leads to enhanced imaging results.
Recently, freeform illumination has become available through pixelated DOEs or through FlexRayTM, ASML's
programmable illuminator system, allowing for virtually unconstrained intensity distribution within the source pupil.
In this paper, the benefit of freeform over traditional illumination is evaluated, by applying source mask co-optimization
(SMO) for an aggressive use case, and wafer-based verification. For a 22 nm node SRAM of 0.099 μm² and 0.078 μm2
bit cell area, the patterning of the full contact and metal layer into a hard mask is demonstrated with the application of
SMO and freeform illumination. In this work, both pixelated DOEs and FlexRay are applied. Additionally, the match
between the latter two is confirmed on wafer, in terms of CD and process window.
The application of customized and freeform illumination source shapes is a key enabler for continued shrink using
193 nm water based immersion lithography at the maximum possible NA of 1.35. In this paper we present the
capabilities of the DOE based Aerial XP illuminator and the new programmable FlexRay illuminator. Both of these
advanced illumination systems support the generation of such arbitrarily shaped illumination sources. We explain how
the different parts of the optical column interact in forming the source shape with which the reticle is illuminated.
Practical constraints of the systems do not limit the capabilities to utilize the benefit of freeform source shapes vs. classic
pupil shapes. Despite a different pupil forming mechanism in the two illuminator types, the resulting pupils are
compatible regarding lithographic imaging performance so that processes can be transferred between the two illuminator
types. Measured freeform sources can be characterized by applying a parametric fit model, to extract information for
optimum pupil setup, and by importing the measured source bitmap into an imaging simulator to directly evaluate its
impact on CD and overlay. We compare measured freeform sources from both illuminator types and demonstrate the
good matching between measured FlexRay and DOE based freeform source shapes.
We derive an imaging budget from the performance of extreme ultraviolet (EUV) optics with NA = 0.32, and demonstrate that the requirements for 22-nm applications are met. Based on aerial image simulations, we analyze the impact of all relevant contributors, ranging from conventional quantities like straylight or aberrations, to EUV-specific topics, namely the influence of 3-D mask effects and faceted illumination pupils. As test structures we consider dense to isolated lines, contact holes, and 2-D elbows. We classify the contributions in a hierarchical order according to their weight in the critical dimension uniformity (CDU) budget and identify the main drivers. The underlying physical mechanisms causing different contributions to be critical or less significant are clarified. Finally, we give an outlook for the 16- and 11-nm nodes. Future developments in optics manufacturing will keep the budgets controlled, thereby paving the way to enable printing of these upcoming nodes.
We derive an imaging budget from the performance of EUV optics with NA = 0.32, and demonstrate that the
22nm node requirements are met. Based on aerial image simulations, we analyze the impact of all relevant
contributors, ranging from conventional quantities, like straylight or aberrations, to EUV-specific topics, namely
influence of 3D mask effects and facetted illumination pupils. As test structures we consider dense to isolated
lines, contact holes, and 2D elbows. We classify the contributions in a hierarchical order according to their
weight in the CDU budget and identify the main drivers. The underlying physical mechanisms causing different
contributions to be critical or less significant are clarified. Finally, we give an outlook for the 16nm and 11nm
nodes. Future developments in optics manufacturing will keep the budgets controlled, thereby paving the way
to enable printing of these upcoming nodes.
We introduce the 'Orientation Zernike Polynomials', a base function representation of retardation and diattenuation which are most relevant for vector imaging. We show that the 'Orientation Zernike Polynomials' provide a complete and systematic description of vector imaging using high NA lithography lenses and, hence, a basis for an in depth understanding of both polarized and unpolarized imaging, and its modeling.
A two-year study on the feasibility of High-n Immersion Lithography shows very promising results. This
paper reports the findings of the study.
The evaluation shows the tremendous progress made in the development of second-generation
immersion fluid technology. Candidate fluids from several suppliers have been evaluated. All the
commercial fluids evaluated are viable, so there are a number of options. Life tests have been conducted on
bench top fluid-handling systems and the results referenced to full-scale systems. Parameters such as Dose
per Laser Pulse, Pulse Rate, Fluid Flow Rate, and Fluid Absorbency at 193nm, and Oxygen/Air
Contamination Levels were explored. A detailed evaluation of phenomena such as Last Lens Element
(LLE) contamination has been conducted. Lens cleaning has been evaluated.
A comparison of High-n fluid-based technology and water-based immersion technology shows
interesting advantages of High-n fluid in the areas of Defect and Resist Interaction. Droplet Drying tests,
Resist Staining evaluations, and Resist Contrast impact studies have all been run. Defect-generating
mechanisms have been identified and are being eliminated. The lower evaporation rate of the High-n fluids
compared with water shows the advantages of High-n Immersion.
The core issue for the technology, the availability of High-n optical material for use as the final
lens element, is updated. Samples of LuAG material have been received from development partners and
have been evaluated. The latest status of optical materials and the technology timelines are reported.
The potential impact of the availability of the technology is discussed. Synergy with technologies
such as Double Patterning is discussed. The prospects for <22nm (hp) are evaluated.
In this paper we report the status of our feasibility work on high index immersion. The development of high
index fluids (n>1.64) and high index glass materials (n>1.9) is reported. Questions answered are related to
the design of a high NA optics immersion system for fluid containment and fluid handling, and to the
compatibility of the fluid with ArF resist processes.
Optical design and manufacturing challenges are related to the use of high index glass materials
such as crystalline LuAG or ceramic Spinel. Progress on the material development will be reviewed.
Progress on immersion fluids development has been sustained. Second-generation fluids are
available from many suppliers. For the practical use of second-generation fluids in immersion scanners, we
have evaluated and tested fluid recycling concepts in combination with ArF radiation of the fluids. Results
on the stability of the fluid and the fluid glass interface will be reported. Fluid containment with immersion
hood structures under the lens has been evaluated and tested for several scan speeds and various fluids.
Experimental results on scan speed limitations will be presented.
The application part of the feasibility study includes the imaging of 29nm L/S structures on a 2-beam interference printer, fluid/resist interaction testing with pre- and post-soak testing. Immersion defect
testing using a fluid misting setup was also carried out. Results of these application-related experiments
will be presented and discussed.
The continuous implementation of novel technological advances in optical lithography is pushing the technology to ever
smaller feature sizes. For instance, it is now well recognized that the 45nm node will be executed using state-of-the-art
ArF (193nm) hyper-NA immersion-lithography. Nevertheless, a substantial effort will be necessary to make imaging
enhancement techniques like hyper-NA immersion technology, polarized illumination or sophisticated illumination
modes routinely available for production environments.
In order to support these trends, more stringent demands need to be placed on the lithographic optics. Although this
holds for both the illumination unit and the projection lens, this paper will focus on the latter module. Today, projection
lens aberrations are well controlled and their lithographic impact is understood. With the advent of imaging enhancement
techniques such as hyper-NA immersion lithography and the implementation of polarized illumination, a clear
description and control of the state of polarization throughout the complete optical system is required.
Before polarization was used to enhance imaging, the imaging properties at each field position of the lens could be fully
characterized by 2 pupil maps: a phase map and a transmission map. For polarized imaging, these two maps are replaced
by a 2x2 complex Jones matrix for each point in the pupil. Although such a pupil of Jones matrices (short: Jones pupil)
allows for a full and accurate description of the physical imaging, it seems to lack transparency towards direct
visualization and lithographic imaging relevance.
In this paper we will present a comprehensive method to decompose the Jones pupils into quantities that represent a clear
physical interpretation and we will study the relevance of these quantities for the imaging properties of lithography
lenses.
In this paper we demonstrate the many benefits of using immersion lithography that go beyond depth of focus (DOF)
improvement by comparing several key features of dry and immersion lithography. Immersion lithography improves
critical dimension uniformity (CDU) as well as avoiding the necessity for strong resolution enhancement techniques
(RET) as compared with dry lithography. Thus it is possible to significantly reduce the burden of optical proximity
correction (OPC) work with immersion lithography. With respect to imaging, we studied the sensitivity of the
lithographic performances to aberrations and light source spectral bandwidth E95 fluctuations to highlight the benefits of
immersion lithography. The significant improvements that have been seen in the last year in overlay accuracy, defect
control and focus & leveling accuracy have been considered to be challenges to the realization of immersion lithography
in mass production. Now these challenges have been met for the manufacturing requirements of 55 nm logic devices.
The achievements of immersion lithography include overlay accuracy within 10 nm on resist-to-resist wafers and within
20 nm on production wafers, fewer than 10 defects per wafer, and errors of less than 40 nm in focus & leveling on full
wafers. We have established a top-coat resist process. In conclusion, immersion lithography is the most promising
manufacturing solution for 55 nm node logic devices, providing advantages in CDU control, and equivalent overlay
performance and focus & leveling accuracy to dry ArF, without an increased level of defects. NEC Electronics has
completed development and preproduction of the 55 nm logic device "UX7LS" using immersion lithography and has
established the lithography technology for mass production of the UX7LS this year.
To enable optical lithography for sub 55 nm features, ArF immersion lithography requires numerical apertures to be significantly larger than 1 - thus leading to new challenges for optical design. Refractive lens designs are not capable to capture these extreme etendues. Catadioptric lens designs can overcome these fundamental issues by keeping the diameters of the optical materials acceptable. We have studied various catadioptric design approaches. The main criteria used to evaluate the potential of the different solutions include mechanical complexity, reticle compatibility, optical sensitivities, polarization capabilities, image field shape, as well as enabling extendibility to even higher NAs. Our assessment leads us to a new design type called catadioptric in-line design which shows superior performance for high NA systems with NA > 1.1.
Immersion Lithography is now the most important technique for extending optical lithography's capabilities and meeting the requirements of the Semiconductor Industry Association (SIA) roadmap. The introduction of water as an immersion fluid will allow optical lithography to progress as far as the 45nm (half pitch) node using ArF scanning systems such as the XT1700i. Developments are under way to explore the use of immersion lithography beyond this performance level and toward the 32nm (half pitch) node. This paper examines the progress that has been made, particularly with the use of 2nd-generation immersion fluids. The requirements of the exposure system are defined. Issues associated with achieving the requirements are reviewed and discussed. Special attention is given to clarifying the optical materials and the issues associated with extending optical designs to hyper-numerical aperture (NA) levels. A number of threshold levels for the numerical apertures are set by the refractive index of the available materials in the lithographic film stack. These are defined. The requirements of high refractive index fluids are detailed. The performance of experimental samples is compared to system requirements. Fluid interaction with photoresists and topcoats are examined. The results of stain tests and soak tests for fluid samples on resist are reported. Data is supplied on resist imaging for 32nm line and space L/S.
We give a general introduction into polarized imaging and report on a Jones pupil approach for a complete evaluation of the resulting optical performance. The Jones pupil assigns a Jones matrix to each point of the exit pupil, describing the impact of both the global phase and the polarization on imaging. While we already can learn much about the optical system by taking a close look at the Jones pupil-and starting imaging simulations from it-a quantitative assessment is necessary for a complete evaluation of imaging. To do this, we generalize the concept of scalar Zernike aberrations to Jones-Zernike aberrations by expansion of the Jones pupil into vector polynomials. The resulting method is nonparaxial, i.e., the effect of the polarization-dependent contrast loss for high numerical apertures is included. The aberrations of the Jones matrix pupil are a suitable tool to identify the main drivers determining polarization performance. Furthermore, they enable us to compare the polarized and unpolarized performance of such a characterized lithographic system.
We give a general introduction into polarized imaging and report on a Jones-pupil approach for a complete evaluation of the resulting optical performance. The Jones pupil assigns a Jones matrix to each point of the exit pupil describing the impact of both the global phase and the polarization on imaging. While we can learn already a lot about the optical system by taking a close look at the Jones pupil - and starting imaging simulations from it - a quantitative assessment is necessary for a complete evaluation of imaging. To do this, we generalize the concept of scalar Zernike aberrations to Jones-Zernike aberrations by expansion of the Jones pupil into vector polynomials. The resulting method is non-paraxial, i.e. the effect of the polarization dependent contrast loss for high numerical apertures is included. The aberrations of the Jones-matrix pupil are a suitable tool to identify the main drivers determining the polarization performance. Furthermore, they enable us to compare the polarized and the unpolarized performance of the such characterized lithographic system.
As the semiconductor industry looks to the future to extend manufacturing beyond 100nm, ASML have developed a new implementation of an old optical method for lithography. Immersion lithography can support the aggressive industry roadmap and offers the ability to manufacture semiconductor devices at a low k1. In order to make immersion lithography a production worthy technology a number of challenges have to be overcome. This paper provides the results of our feasibility study on immersion lithography. We show through experimental and theoretical evaluation that we can overcome the critical concerns related to immersion lithography. We show results from liquid containment tests focussing on its effects on the scan speed of the system and the formation of micro-bubbles in the fluid. We present fluid-to-resist compatibility tests on resolution, using a custom-built interference setup. Ultimate resolution is tested using a home build 2 beam interference setup. ASML built a prototype full field scanning exposure system based on the dual stage TWINSCAN platform. It features a full field 0.75 NA refractive projection lens. We present experimental data on imaging and overlay.
The specific properties of the illumination system are of increasing importance for the realization of low-k1 applications in modern lithography. In this paper, we present numerical investigations of optical imaging performance using real illuminator pupils in contrast to conventional simulations based on an idealized tophat pupil assumption. We study the impact of non-idealized radial and azimuthal intensity distributions as well as the consequence of local in-homogeneities in the pupil. Furthermore, we discuss the effect of scanning, and details of the numerical implementation. We quantify the imaging impact of the different illumination pupils by computing the through pitch, and through focus behavior of several low-k1 applications. We demonstrate that the tophat assumption often does not provide sufficiently accurate results. In particular, for annular and multi-pole settings, the real radial, and azimuthal intensity distribution have to be taken in to account. Accordingly, we introduce a simple heuristic model describing the real illumination pupil. Using this smooth pupil model, we demonstrate a significantly improved imaging performance prediction accuracy. Local pupil inhomogeneities have a minor impact. For coherent, and conventional settings, finally, we find that a modified tophat assumption gives already sufficiently accurate results, and can be applied for predictive simulations.
Liquid immersion has been used for more than 100 years to increase the numeric aperture (NA) and resolution in optical microscopy. We explore the benefits and limitations of immersion technology in lithography. Immersion optical lithography has the potential to extend the resolution below 40 nm. The theory of immersion is decribed. Simulations show that a 193-nm immersion system at NA = 0.95 can double the depth of focus as compared to a dry system. Also, an immersion 193-nm system at NA = 1.05 has slightly more depth of focus than a 157-nm dry system at NA = 0.85. However, the exposure latitude at 193 nm is decreased due to the impact of polarization in imaging. Design schemes are presented to realize an immersion step and scan system. Two configuration approaches are proposed and explored. A localized shower type solution may be preferred over a bath type solution, because the impact on the step and scan platform design is significantly less. However, scanning over the wafer edge becomes the main design challenge with a shower solution. Studies are presented that look at the interaction of immersion fluids with the lens and the photoresist. Water seems to be a likely candidate, as it does not impact productivity of the step and scan system; however, focus and aberration levels need to be carefully controlled. For 157 nm, per-fluor-polyether (PFPE) materials are currently being studied, but their characteristics may limit the productivity of the exposure system. Further research on fluid candidates for 157-nm immersion is required.
As the semiconductor industry looks into the near future to extend manufacturing beyond 100nm, a new optical lithography system was developed by ASML. To achieve the aggressive industry roadmap and enable high volume manufacturing of sub 100nm resolutions at low k1 requires a number of challenges to be overcome. This paper reviews the design, system performance and measurements of a High NA, Dual stage 193nm TWINSCAN system planned for high volume manufacturing for 80nm applications. The overall system capability to effectively measure and control to a high precision the various attributes upon process control necessary for adequate CD control, in the low k1 regime will be shown. This paper will discuss the needed imaging control and the requirement for an extremely stable and matured platform. The system's dynamic, focus, leveling and dose delivery performance will be shown. Additionally, the automated control features of the optical system will be shown that enable the use of the various resolution enhancement techniques (RET) currently under development. The ability to optimize imaging performance with the control and flexibility in the pupil formation optics will be discussed. Finally, experimental results of an in-situ measurement technique with automated feedback control to optimize projection lens aberrations, which has a direct impact to imaging fidelity, will be shown. In summary, the lithographic system functionality and performance needed to achieve 80nm volume manufacturing will be presented.
Current roadmaps show that the semiconductor industry continues to drive the usable Rayleigh resolution towards the fundamental limit (for 50% duty cycle lines) at k1=0.25. This is being accomplished through use of various resolution enhancement technologies (RETs), extremely low aberration optics with stable platforms, and resists processes that have ever-increasing dissolution contrast and smaller diffusion lengths. This talk will give an overview of the latest optical mechanisms that can be used to improve the imaging system for low k1 resolutions. We show 3 non-photoresist techniques to measure the optical parameters of a scanner: 1) a new fast phase measurement interferometer to measure aberrations is presented with an accuracy and repeatability of <3mλ, 2) we introduce a method to measure the illumination profile of the exposing source, and 3) a measurement system to monitor scattered light is presented with correlation to other techniques using a salted pellicle experiment to create controlled scattered light. The optimization of illumination and exposure dose is presented. We show the mechanism for customizing illumination based on specific mask layers. We show how this is done and compare process windows to other more conventional modes such as annular illumination or QUASAR. The optimum design is then implemented into hardware that can give extremely high optical efficiency. We also show how system level control mechanisms can be used to field-to-field and across-field exposure to compensate for lithography errors. Examples of these errors can include reticle CD deviations, wavefront aberrations, and across-field illumination uniformity errors. CD maps, facilitated by SEM and ELM, can give the prescribed changes necessary. We present a system that interfaces to new hardware to compensate these effects by active scanner corrections.
This paper presents a comprehensive study of the impact of wavefront errors on low-k1-imaging performance using high numerical aperture NA lithographic systems. In particular, we introduce a linear model that correctly describes the aberration induced imaging effects. This model allows us to quantify the aberration requirements for future lithographic nodes. Moreover, we derive scaling laws characterizing the imaging performance in dependence on the key parameters exposure wavelength λ, NA, and k1. Our investigations demonstrate, first, that an accurate control of coma is and will be crucial, and, second, that spherical requirements will be very tight for k1<0.3 due to isolated contact printing. Finally, we summarize the results of this paper in a roadmap covering the aberration requirements in optical lithography down to the 45nm node. We conclude that the improvement of wavefront quality is necessary to enable imaging enhancement techniques, but is not sufficient to replace these techniques.
This study assesses the various approaches to printing contacts in the sub 100nm regime using 193nm. Traditional techniques are analyzed along with the use of tri-tone contacts and pupil filtering. Approaches using attPSM masks looks promising down to pitches of 300nm. Below this, assist features may be needed to prevent residual artifacts due to sidelobes. For pitches > 400nm the use of tri-tone masks show a significant improvement in process latitude and ease of overlapping process windows. The pupil filter solution does not seem provide any significant improvement as compared to other solutions with the exception that it provides the lower MEF. Realization of this solution will increase machine complexity and will possibly impact throughput, especially if using transmission filters. However, pupil filtering can be an option for isolated contact layers that are printed with binary masks. We find that the process and enhancement techniques to print a dense contacts and isolated contacts to be vastly different. This may require a split into two exposures if an extensive pitch range is needed.
To meet lithographic requirements for the 130nm generation, the influence of aberrations on printing of various patterns is investigated. This paper shows a process for patterns that are sensitive to coma and three wave. The aberration sensitivities are calculated and the effect on printing experimentally verified. This analysis leads to slight changes in lens adjustment strategy to accommodate the printing of specific DRAM patterns. Additional improvements in materials and surface figures, as well as reduction in process-induced aberrations and associated RMS wave front error, enable the production of tools that are capable of printing the 130nm device generation. The importance of collaboration between makers of lithography tools and their customers cannot be underestimated in finding tool specific limitations. Because of the length of the design cycle of lithography tools it is necessary to perform analysis of device patterns years in advance. The current work also indicates that patterns historically used to determine lens specifications, such as dense and isolated lines, are insufficient to fully determine lens specifications. This paper also outlines techniques that can be used to reduce aberration sensitivities by use of resolution enhancement techniques. This is another area where close interaction between vendor and customer is needed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.