Extreme ultraviolet (EUV) lithography is a promising candidate for 2x-nm-node device manufacturing. Management of effective dose is important to meet the stringent requirements for critical dimension control. As a test pattern for a lithography tool evaluation, the effective dose monitor (EDM) demonstrates sound performance in dose monitoring for optical lithography, such as KrF lithography. The EDM can measure an exposure dose with no influence on defocus, because the image of an EDM pattern is produced by the zeroth-order ray in diffraction only. When this technique is applied to EUV lithography, the mask shadowing effect should be taken into consideration. We calculated the shadowing effect as a function of field position and applied it to correction of the experimental dose variation. We estimated the dose variation in EUV exposure field to be 2.55% when corrected by the shadowing effect. We showed that the EDM is useful for EUV lithography.
EUV lithography is a promising candidate for 2x-nm-node device manufacturing. Management of effective dose is
important to meet the stringent requirements for CD control. Test pattern for a lithography tool evaluation, the effective
dose monitor (EDM), shows good performance in the dose monitoring for optical lithography, for example, KrF
lithography. The EDM can measure an exposure dose with no influence on defocus, because the image of an EDM
pattern is produced by the zero-th-order ray in diffraction only. When this technique is applied to EUV lithography, the
mask shadowing effect should be taken into consideration. We calculated the shadowing effect as a function of field
position and applied it to correction of the experimental dose variation. We estimated the dose variation in EUV
exposure field to be 2.55 % when corrected by the shadowing effect. We showed that the EDM is useful for EUV
lithography.
The actual extreme ultraviolet lithography tools will have aberrations around seven times larger than those of the latest ArF lithography tools in wavelength normalized rms. We calculated the influence of aberrations on the size error and pattern shift error using Zernike sensitivity analysis. Mask-induced aberration restricts the specification of aberration. Without periodic additional pattern, the aberration level that can be accepted to form 22 nm dual-gate patterns was <8 m rms. Arranging the periodic additional pattern relaxed the aberration tolerance. With periodic additional pattern, the acceptable aberration level to form 22 nm patterns was below <37 m rms. It is important to make pattern periodicity for the relaxation of the aberration specification.
We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line
direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of
parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In
the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without
aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In
perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography,
pattern correction based on the mask topography effect will be more important.
KEYWORDS: Design for manufacturing, Manufacturing, Standards development, Process modeling, Semiconductors, Information technology, Photomasks, Electronics, Semiconducting wafers, Data processing
The category and objective of DFM production management are shown. DFM is not limited to an activity within a
particular unit process in design and process. A new framework for DFM is required. DFM should be a total solution for
the common problems of all processes. Each of them must be linked to one another organically. After passing through
the whole of each process on the manufacturing platform, quality of final products is guaranteed and products are
shipped to the market. The information platform is layered with DFM, APC, and AEC. Advanced DFM is not DFM for
partial optimization of the lithography process and the design, etc. and it should be Organized DFM. They are managed
with high-level organizational IQ. The interim quality between each step of the flow should be visualized. DFM will be
quality engineering if it is Organized DFM and common metrics of the quality are provided. DFM becomes quality
engineering through effective implementation of common industrial metrics and standardized technology. DFM is
differential technology, but can leverage standards for efficient development.
The purpose of this work was to identify the specific effects of mask topography by analyzing in the Fourier domain.
Our focus patterns extend from a simple contact hole (CH) with a fixed pitch and bias to ones that have a variety of
different pitches and hole sizes. We also attempt to predict phases and amplitudes of diffraction on the pupil plane
without a rigorous mask topography approximated model. Intensities of CH patterns are simulated using three mask
models. We had determined that there are serious differences among the three mask models concerning the contrast of
the intensity and the qualitative interpretation of the trend of contrast varies according to pitch and hole sizes.
The mask topography effects can be classified into waveguide and shadowing effects simply by using the diffraction
decomposition diagram. We clarify how much and when the mask topography influences imaging under hyper-NA
lithography by the diagram. From 1D near-field phase distribution, it is clarified that phase distribution has also been
decided by the MoSi width between holes so that waveguide effects are not only from hole but also from MoSi area.
It has been determined that the influence of the real 3D structures of the mask under the hyper-NA condition cannot
be disregarded. However, use of the rigorous EMF calculation costs much more and requires more time than using a
non-EMF calculation. We have also clarified the mechanism of 3D mask effects based on the amplitude and the phase
of the diffraction light in the Fourier-domain diagram and examined whether the 3D mask effects can be predicted by
thin mask approximation (TMA) and found that once we have values of amplitude and phase of the 0th and the 1st
diffraction in TMA, it will be possible to predict the values of the other pitch and the other hole size.
It seems that the actual EUV lithography tools will have aberrations around ten times larger than those of the
latest ArF lithography tools in wavelength normalized rms. We calculated the influence of aberrations on the size error
and pattern shift error using Zernike sensitivity analysis. Mask-induced aberration restricts the specification of aberration.
Without periodic additional pattern, the aberration to form 22 nm dual-gate patterns was below 8 mλ rms. Arranging the
periodic additional pattern relaxed the aberration tolerance. With periodic additional pattern, the aberration to form 22
nm patterns was below 37 mλ rms. It is important to make pattern periodicity for the relaxation of the aberration
specification.
In the case of hyper-NA (NA>1) imaging with the lens magnification keeping 1/4, the angle
of light incidence on pellicle becomes bigger. For example, it is up to 19 degrees for NA=1.3 lens. It
is already known that the effect of multiple reflections of the light inside the pellicle film becomes
obvious, in that the effect contains transmission variation across the light incidence angle on the
pellicle. For normal pellicle, transmission of oblique incidence light is lower than the normal
incidence light and the difference is about 10% as intensity changes. And pellicle thickness error
affects the transmission characteristics. Thus, pellicle thickness error causes change of iso-dense
bias (or optical proximity effect; OPE) and dense line CD variation.
Specs for CD uniformity in below half pitch (hp) 45nm imaging become tighter, and
therefore, pellicle should not be a new root cause of CD error. The solutions for the issue are (1)
tighter specs for pellicle thickness or (2) selection of optimal pellicle thickness. The latter is more
effective for suppressing CD variation across the exposure field than the former.
In our paper, we describe the pellicle effect for through-pitch imaging including below hp45
nm dense L/S using hyper-NA lens. We discuss pellicle thickness optimization for better CD
uniformity and the results of simulation for some pellicle conditions.
A hyper-NA lithography tool is used in production of the latest devices. In the next generation immersion lithography,
issues that had so for neglected had to be considered because NA of illumination optics is larger than conventional tools.
Here, items were listed up for accurate prediction of imaging by optical simulation. These were transmittance of
illumination rays to the mask, mask induced effects such as polarization and aberration, and pellicle induced effect. These
were depending on incident angle. Therefore consideration of angle dependency of these effects was necessary for more
accurate imaging simulation. We presented the requirements for simulation to facilitate discussion of the imaging
performance of below 40 nm hp pattern node immersion lithography.
This paper proposes a new virtual lithography system to improve the productivity of high-mix / low-volume production. In the case of the conventional technique, product mask and wafer are used to determine a focus-exposure-matrix (FEM) exposure condition.
The conventional technique is a "send-ahead" process involving exposure, metrology and data analysis that decreases productivity of manufacturing. In the case of low-volume/high-mix ASIC manufacturing, such a send-ahead process is particularly time-consuming and costly. Moreover, the exposure condition setting imposes a huge workload that is desirable to be avoided from the viewpoints of cost and TAT. Thus, a new methodology to determine exposure dose conditions for each mask in high-mix / low-volume production is required.
In this paper, we propose a virtual lithography system to eliminate send-ahead exposure. Firstly, to improve wafer CD prediction accuracy, we rebuild the system, thereby transforming it from a training-based system to a simulation-based system. To make simulation models, we use a golden mask, which is not a product mask. Secondly, exposure conditions are determined by considering 2D patterns including hotspot patterns. Thirdly, the lithography simulation is carried out for each exposure tool. Using the golden mask, we calibrate simulation models for each exposure tool1-3. Various patterns including hotspots likely to become fatal errors for circuit reliability due to process proximity effects are considered. The virtual system provides optimal exposure parameters according to product and layer, considering long-term variation of exposure tool conditions. By developing this system, TAT and cost for the determination of exposure parameters will be improved. Elimination of send-ahead wafers can reduce TAT from mask delivery to exposure condition setup in high-mix / low-volume production. Drastic cost reduction is realized in high-mix / low-volume production.
The purpose of this work was to find the specific effects of hole patterns in 32nm node logic by analyzing in the Fourier domain and to clarify the mechanism of mask topography effects. Our focus patterns extend from the lines and spaces (LS) to the contact hole (CH). We also attempt to perform factor analyses of mask topography effects.
Intensities of LS and CH patterns are simulated using three mask models. For each of the three models, the method of approximating the mask topography effect is different. As a result, a serious difference among the three mask models has been found with respect to the intensity profile for 32nm node and beyond, though the mask sizes for all models are the same.
As the accuracy of mask model improved, it was found that the image contrast tends to decrease on LS patterns while increasing on CH patterns. The qualitative interpretation of the trend of contrast variations can be described by analyzing in the Fourier domain.
The mask topography effects can be separated into waveguide and shadowing effects using scatter graphs.
It is concluded from the result that one of the major differences between LS and CH is attributable to phase differences between 0th order and 1st order diffractions, because the size of effects for CH have been larger than that for LS.
In the exposure using ArF immersion exposure tool, under the conditions in which the mask
pattern pitch is smaller than several times the exposure wavelength, diffraction light distribution
cannot be predicted correctly by the Kirchhoff approximation mask model, and therefore, rigorous
electromagnetic field analysis, or 3D mask model, is required. In particular, in the dense line and
space (L/S) formation using oblique illumination and an attenuated phase shifting mask (att-PSM),
the intensity of 0th and 1st diffraction lights changes as pitch shrinks.
In dense L/S formation, it is necessary to reduce a mask error enhancement factor (MEF) and
to obtain sufficient exposure latitude. We consider the following three contrast control "knobs" (CCKs):
(1) Mask bias, (2) Transmittance of attenuating mask material (absorber), (3) Thickness of absorber.
We also considered the effect of illumination angle of incidence on 3D mask.
We performed a simple optimization for exposure latitude of dense L/S pattern, reflecting
consideration of the mask 3D model for half pitch (hp) 45nm L&S imaging using att-PSM and oblique
illumination. The important image characteristics are normalized image log slope (NILS) and dose-
MEF for obtaining sufficient exposure latitude.
We carried out an experiment of attenuated PSM exposure using hyper-NA exposure tools and
compared the results with the 3D mask simulation. The degree of agreement between the experiment
and the 3D mask simulation, and the practical effectiveness of the CCKs are discussed in this paper.
In recent low-k1 lithography, the size of a mask pattern is becoming close to the wavelength of the light source. In a sub-100-nm pattern at wafer scale of 4× masks, transverse electric (TE) polarization light had higher transmittance of the zeroth order than TM polarization for a Cr mask according to rigorous model simulation of a finite difference time domain method. On the other hand, transverse magnetic (TM) polarization light had higher transmittance than TE polarization light for a MoSi mask. From the results of lithography simulation for a 45-nm pattern on the MoSi mask, TE polarization was better for wide exposure latitude, but TM polarization was better for large depth of field. The performance of a current MoSi mask is inferior to that of a Cr mask. However, a lower transmittance MoSi mask has better performance in the exposure defocus window under the dipole illumination. Also, rigorous simulation showed transmittance dependency of the light incident angle to the MoSi mask. The dependency was larger for TM polarization than for TE polarization.
In the exposure using ArF immersion exposure tool, under the conditions in which the mask pattern pitch is smaller than a several times of the exposure wavelength, diffraction light distribution cannot be predicted correctly by the Kirchhoff approximation mask model, and therefore, rigorous Electromagnetic Field (EMF) analysis is required. In particular, in the dense L&S formation using oblique illumination and an attenuated phase shift mask (att-PSM), the intensity of 0th and 1st diffraction lights changes as pitch shrinks.
In high density L&S formation, it is necessary to reduce a mask error enhancement factor (MEF) and to obtain sufficient exposure latitude. We consider the following two contrast control knobs: (1) optimizing the transmittance of attenuated mask material, (2) optimizing mask bias. The important image characteristics are normalized image log slope (NILS) and dose-MEF. Dose-MEF means a dose to size change per mask critical dimension (CD) change.
We performed a simple optimization for exposure-defocus window of dense L&S pattern reflecting consideration of the mask EMF model for half pitch 45nm L&S imaging using att-PSM and oblique illumination. We explain the characteristics of the contrast control knobs and their effectiveness. An optimized combination of contrast control knobs depends on the capability of mask CD process as a smallest limit of mask CD and mask CD uniformity.
In recent low-k1 lithography, the size of a mask pattern is becoming close to wavelength of the light source. The light intensity through the mask pattern is depending on polarization. TM polarization light is higher transmission than TE polarization light for a MoSi mask. This effect influences not only the zeroth-order light but the first-order light. On the other hand, TE polarization imaging makes higher contrast than TM polarization in two beam interference. Effects of
polarization to resolution are not simple. Since an attenuated phase shift mask is used in order to obtain high contrast, it is necessary to take into consideration the influence of that. It is also taken into consideration that illumination light is not perpendicular incidence but oblique incidence for an ArF hyper-NA tool. We will perform a rigorous simulation in consideration of the above conditions. Hereby influence of the to the utmost resolution will be clarified by the rigorous simulation.
Recently, requirements concerning overlay accuracy have become much more restrictive. For the accurate overlay, signal intensity and wave form from the topographical alignment mark have been examined by signal simulation. However, even if the results were in good agreement with actual signal profiles, it would be difficult to select particular alignment marks at each mask level by the signal simulation. Therefore, many mark candidates are left in the kerf area after mass production. To facilitate the selection, we propose a mark TCAD system. It is a useful system for the mark selection with the signal simulation performed in advance. In our system, the alignment mark signal can be easily simulated after input of some process material parameters and process of record (POR). The POR is read into the system and a process simulator makes stacked films on a wafer. Topographical marks are simulated from the stacked films and the resist pattern. The topographical marks are illuminated and reflected beams are produced. Imaging of the reflected beams through inspection optics is simulated. In addition, we show two applications. This system is not only for predicting and showing a signal wave form, but is also helpful for finding the optimum marks.
We report a problem regarding DUV lithography on topographical substrates and a solution for obtaining desired CD control and resist pattern shape. In our experiment, large footings for a 250-nm resist pattern are observed when the resist pattern is transferred over a polysilicon step pattern of 175 nm in height. This pattern error is not negligible regarding device performance. The exposure tool used is a KrF scanner of NA 0.6. The resist is 500 nm thick with no antireflective coating (ARC). Computer simulation is used to demonstrate the amount of the footing. A nonrigorous diffraction model did not recreate the footing appearance at the poly-Si step. However, a rigorous diffraction model of incident light in a cone recreated the footing amount at the poly-Si step faithfully. In this simulation, optical distribution in the resist over the nonplanar wafer is solved by the finite-difference time-domain (FDTD) method. Optical intensity at the sidewalls of the step differs between the two models. Experimental results as well as simulation results show that larger coherency results in larger footing. In the case of a large coherency, the illumination rays come from various directions to the wafer, and a large shadow area is likely to appear behind the steep step. We also propose a shadow model for simple footing simulation. As a consequence, optical behavior in the vicinity at the steep step has a strong impact on the resist footing.
We have studied the lithography issue of resist footing in an ion implant layer after a gate conductor formation. In a previous report , we proposed the shadow model and showed a solution to reduce the resist footing. This paper reports on the further investigation into the cause and the reduction method of the resist footing over non-planar wafer with simulation and explains the effects with the shadow model. We analyzed the processes that affected the resist footing and four main effects were selected. These were NA, illumination coherency, mask bias, and mask type. We simulated these four effects on an orthogonal array by using the design of experiments (DOE). We obtained a better condition of higher NA, smaller coherency, positive mask bias, and Att-PSM for reducing the resist footing. We explain the reasons for these effective factors with the shadow model.
“Dose-MEF” was measured on ArF and KrF resists. The “dose-MEF” is very important factor for mask making spec. Conventional lithography simulation such as “Diffused aerial image simulation” does not predict the ArF experimental value precisely. In order to explain the dose-MEF of ArF resist, we introduce intensity biasing. The intensity biasing is caused by flare of exposure tool and another mechanism. The intensity biasing reduces the dose-MEF. Small dose-MEF leads to the relaxed mask spec.
Recently the overlay accuracy has got seriously severe. For the accurate overlay, signal intensity and waveform from the topographical alignment mark has been examined by signal simulation. Actually these results have given good agreements with actual signal profiles, but it is difficult to select particular alignment marks in each mask level by the signal simulation. Even after mass production, many mark candidates leave in kerf area. To help the selection, we propose a mark TCAD system. It is a useful system for the mark selection with the signal simulation in advance. In our system, alignment mark signal can be very easily simulated after input of some process parameters and process of record (POR). The POR is read into the system and a process simulator makes stacked films on a wafer. Topographical marks are simulated from the stacked films and the resist pattern. The topographical marks are illuminated and reflected beams are produced. It is simulated how the reflected beams are imaged through inspection optics. We show two applications. This system is not only to predict and show a signal waveform, but also helpful to find optimum marks.
Standard simulations of optical projection systems for lithography with scalar or vector methods of Fourier optics make the assumption that the wafer stack consists of homogeneous layers. We introduce a general scheme for the rigorous electromagnetic field (EMF) simulation of lithographic exposures over non-planar wafers. Rigorous EMF simulations are performed with the finite-difference time-domain (FDTD) method. The described method is used to simulate several typical scenarios for lithographic exposures over non-planar wafers. This includes the exposure of resist lines over a poly-Si line on the wafer with orthogonal orientation, the simulation of “classical” notch problems, and the simulation of lithographic exposures over wafers with defects.
This paper reports a problem regarding DUV lithography on topographical substrate and solution for obtaining desired CD control and resist pattern shape. In our experiment, large footing for 250 nm resist pattern was observed when the resist pattern was transferred over polysilicon step pattern of 175nm in height. This pattern error is not negligible regarding device performance. The exposure tool used was a KrF scanner of NA0.6. Resist was 500 nm thick with no ARC. Computer simulation was used to demonstrate the amount of footing. A non-rigorous diffraction model did not recreate the footing appearance at the poly-Si step. However, a rigorous diffraction model of incident light in a cone recreated the footing amount at the poly-Si step faithfully. In this simulation, optical distribution in the resist over the nonplaner wafer was solved by the FDTD method. Optical intensity at sidewall of the step differs between the two models. Experimental results as well as simulation results showed that the amount of the footing depended on a coherency factor of illumination. Larger coherency resulted in larger footing. In the case of a large coherency the illumination rays come from various directions to the wafer, and a large shadow area is likely to appear behind the steep step. As a consequence, optical behavior in the vicinity at the steep step has a strong impact on the resist footing.
KEYWORDS: Computer aided design, Scanning electron microscopy, Semiconducting wafers, Photomasks, Data acquisition, Lithography, Image filtering, Inspection, Data modeling, Algorithm development
We have developed a new system, 'PF-3000', which realizes the pattern shape comparison between CAD layout data and CD-SEM images. Comparison results are expressed as the difference of edge location and area in this system. Moreover, we investigated different methods of shape comparison. Fourier descriptor is one of the most useful method.
Coma aberration of projection optics and asymmetric illumination degrade a resist profile symmetricity and enhanced a placement error which depend on pattern size, density and feature. Relative displacements between 2.0 micrometer isolated lines and sub-micron periodic lines are measured to characterize the coma aberration and the illumination telecentricity. A conventional overlay inspection tool and bars-in-bars marks are used for this evaluation. It is difficult to measure the placement error of periodic patterns because their inner patterns have a different placement error from their both ends. The differences in line- width between both ends of periodic lines are caused by the differences in placement errors between their inner and their both ends patterns. The measurement marks for periodic lines are fabricated by the double exposure of two marks. When the resist patterns are imaged by the interference of only three diffracted beams, the coma aberration of Zernike's polynomials can be calculated by the simple expressions from the measurement results. We derived the condition of coherency (sigma) and pattern pitch P which satisfy the imaging of only three diffracted beams. The coma aberration is estimated to be 0.12 wavelength at maximum for the exposure system we applied. In this method, the focus is not so important for the accurate measurement because the measurement data remain constant at any foci. It takes only one hour to estimate the coma aberration completely including a sample making (sample making: 0.5 h, measurement/evaluation: 0.5 h). The relative pattern shifts between large patterns and fine patterns could be usually caused by not only coma aberration but also illumination optics. The telecentricity of the illumination optics is also discussed in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.