A non-topcoat (non-TC) resist is a photoresist that contains a hydrophobic additive, which segregates to the surface and
forms a layer to minimize surface free energy. The improvement of surface hydrophobicity and the suppression of resist
component leaching were confirmed by using this segregation layer. Compared to conventional topcoat process, it is
speculated that the use of non-TC resist will reduce the cost of lithographic materials, improve throughput, and will be
compatible for the scanning speed improvement of immersion scanners. One issue for the non-TC resist is the possibility
of increased defect generation compared to processes using topcoats. It is assumed that the high resist surface
hydrophobicity and the developer insolubility of the hydrophobic additive are main factors causing the increase in defect.
Therefore, it is important to work out solutions for reducing these defects to realize the non-TC resists. A process of
selectively removing the hydrophobic additive between exposure and development process for the purpose of defective
reduction of non-TC resist was investigated. Specifically, wet processing was performed to the wafer after exposure
using an organic solvent to dissolve the hydrophobic additive. As a result, defect count was reduced to less than 1/1000
with the effective removal of the segregation layer without affecting pattern size. These results prove the effectiveness of
the proposed process named 'selective segregation removal (SSR)' treatment in reducing defects for non-TC resists.
~30nm width isolated line is formed with over 300nm DOF by Single Exposure
process of ArF immersion lithography.
Super-Diffraction-Lithography ("SDL") technique, which utilizes fine dark line image formed between a pair of bright
lines in attenuating non-phase-shifting field and which enables formation of very fine isolated line pattern with single
exposure, is applied with ArF immersion lithography. By simulation study, superior performance of "SDL" is exhibited
for ArF immersion lithography. From view point of mask fabrication, it is shown that requirement for mask technology
is not so severe, such that photo mask for "SDL" in hyper NA ArF immersion era can be fabricated with current mask
technology. By experiments with an optimum quadrupole illumination, ~30 nm width isolated line is successfully
printed by single exposure process with over 300nm DOF by a mature 6% transmission EA-PSM. Moreover, device like
pattern with ~35nm line width is well formed with enough large DOF to industrially fabricate devices.
We believe this technique is one of the promising candidates for advanced logic at 32 nm node and beyond.
In this study, we focus on the controllability of a wafer bevel from adhesion and hydrophobicity viewpoints in order to
solve the problems of film peeling and microdroplet formation around wafer bevels, which result in pattern defects.
Hexamethyldisilazane (HMDS) treatment is a common solution to these problems. We examine a novel wafer bevel
treatment utilizing silane coupling agents (SCAs) for obtaining high adhesion and hydrophobicity. SCAs comprise
trimethoxysilanol and organic functional groups. These groups react with inorganic substrates and films just over the
surface subjected to a novel chemical treatment (NCT), respectively. Several organic functional groups both with and
without fluorine are examined. The hydrophobicity is estimated from the static and receding contact angles of water.
The adhesion strength is measured from the stress required for pulling the topcoat film away from the substrate subjected
to the NCT. The coating performance of chemicals on the surface by the NCT and the aging stability of the formulated
solution of the SCAs are examined for optimizing the composition of the NCT solution. Further, we verify the film
peeling behavior and water leakage in wafers having a topcoat, ArF resist, and bottom antireflective coating (BARC)
using a quasi-immersion exposure stage.
A new technology called the double patterning (DP) process with ArF immersion lithography is one of the candidate
fabrication technologies for 32 nm-node devices. Over the past few years, many studies have been conducted on
techniques for the DP process. Among these technologies, we thought that the double Si hard mask (HM) process is the
most applicable technology from the viewpoint of high technical applicability to 32 nm-node device fabrication.
However, this process has a disadvantage in the cost performance compared with other DP technologies since these HMs
are formed by the chemical vacuum deposition (CVD) method.
In this paper, we studied the DP process using a dual spin-on Si containing layer without using the CVD method to
improve process cost and process applicability. Perhydropolysilazane (PSZ) was used as one of the middle layers (MLs).
PSZ changes to SiO2 through the reaction with water by the catalytic action of amine in the baking step. Using PSZ and
Si-BARC as MLs, we succeeded in making a fine pattern by this novel DP technique. In this paper, the issues and
countermeasures of the double HM technique using spin-on Si containing layers will be reported.
A dynamic receding contact angle (RCA) is a well-known guideline to estimate the degree of watermark (WM)
defects, which shapes circle and bridges inside of the defect and reduces with enlarging the RCA of topcoat (TC).
However, our recent investigation revealed the occurrence of the circular shape defects in spite of using the TC with a
large RCA, bringing about a change of line and space pattern pitch. In this paper, we clarify the origin of these defects
and propose a new key factor of the dynamic surface properties of immersion-specific defects. It was found that the
pitch-change defect is caused by the lens effect of the air bubbles embedded between advancing water meniscus and the
TC. To well understand generation of the bubble defects, we defined the "effective" hysteresis (EH) as the hysteresis of
dynamic contact angle taken the effects of water-absorption into account. An analysis with the EH indicates that the
bubble defect arises from not only to the large ACA but also small amount of water uptake and the amount of
water-absorption could be substituted by the dissolution rate of TC. It was demonstrated that the EH proposed is a new
key factor for estimating the number of bubble defects. The EH is very useful for analyzing the bubble defects in
immersion lithography. The characteristics of the bubble defect are also discussed with a focus on the structure of the
polymer attached to water.
We previously succeeded in fabricating 60-nm line-and-space (L/S) patterns with fluorine-containing silsesquioxane-type (F-SSQ) resist, BARRIERTA® J25V immersion fluid, and NovecTM HFE-7200 rinse liquid using our two-beam interferometer. In the study reported here, we tried to fabricate a finer resist pattern with this immersion fluid, but 40-nm L/S could not be resolved because of the T-top shapes produced under the same conditions. The cause of the T-top shape was photo-acid generator (PAG) leaching into HFE-7200, which in effect caused the resist layer to swell. When FluorinertTM FC-84 with the CF3 functional group, which has the lowest reactivity, was used as a rinse liquid, the resolution of 40- and 32-nm L/S without T-top shape was achieved. Next, to fabricate finer patterns, we considered improving the molecular structure to raise the refractive index. As a result of an examination based on perfluoroalkane, which has high transparency, we found that it is effective to reduce the fluorine atom concentration, transform the molecular structure to a steric structure without reducing molar refractivity, and attach a functional group such as a cyclohexyl or chloro group. Finally, with the high-refractive index immersion fluid, we attempted to fabricate 28-nm L/S. Although we tried pattern fabrication with two immersion fluid candidates, transfer of the interference patterns to the resist has not been confirmed at this time. However, since we checked that the light did reach the resist, we speculate that it will be possible to fabricate 28-nm L/S by making a minor change.
A two-beam interference lithography system based on a line-selected F2 laser has been developed. Resist patterns with a 60nm line and space (L&S) resolution were produced by the interferometer by F2 immersion lithography. The F2 laser performance had been especially optimized for this application. The spectral emission at the 157.53nm line was less than 1% of the main line emission at 157.63nm. The main line had a deconvolved spectral bandwidth of 0.84 pm (full width at half maximum (FWHM)). The degree of horizontal linear polarization was above 0.73 and the visibility of spatial coherence was larger than 0.83 at a pinhole distance of 0.1mm.
We performed an initial evaluation of 157-nm immersion lithography. The 157-nm immersion fluid needs to have both a high refractive index and high transmittance at a wavelength of 157 nm. This paper focuses on the transparency of the fluid. We evaluated the transparency of straight-chain perfluoroalkane and perfluoroether using a semi-empirical molecular orbital method. We found that perfluoroether has lower absorption at 157 nm than perfluoroalkane, and increasing the amount of ether bonds in perfluoroether can further reduce the absorption. Moreover, we found that designing the molecular structure with ether bonds so that the number of successive CFx is balanced should further improve transparency. Although the commercial perfluoropolyether BARRIERTA® J25V contains a trifluoromethyl group in one of its side-chains, it satisfied the above conditions and achieved high transmittance of 1.0 cm-1 at 157 nm. The sensitivity characteristics of the XP2332C and F-SSQ resists were evaluated by dry and immersion exposure using BARRIERTA® J25V immersion fluid, and no noticeable changes were seen in the development contrast for either exposure condition for either of these two resists. To perform 157-nm immersion exposures, we constructed a Michelson interferometric exposure tool, which let us create an interference pattern with sufficient optical contrast. We obtained a resolution of 60-nm line-and-space pattern having a good rectangular shape by immersion exposure using this interferometric exposure tool, F-SSQ resist, and BARRIERTA® J25V immersion fluid without using a top-coat.
The FPA-5800FS1 157-nm scanner installed at Selete has demonstrated a minimum resolution of 55 nm for line-and-space (L/S) patterns with a numerical aperture (NA) of 0.8. The scanner has been used for 65-nm-node device fabrication and will be used for 45-nm-node device development. The approximately 20% shorter wavelength in 157-nm lithography has several advantages compared to 193-nm immersion lithography. For example, assuming the same k1 value, 157-nm lithography, which has a 20% smaller NA, has a 25% larger depth of focus and better resolution in two-dimensional patterns, for which polarized illumination is not effective. This 157- nm immersion lithography has the potential to be used for 32-nm-node device fabrication with a k1 of 0.3 in combination with a high-refractive-index immersion fluid. To demonstrate the process feasibility of 157-nm immersion lithography, we developed a two-beam interferometric stepper with a high-quality F2 laser and used it and a commercial perfluoroether as an immersion fluid to print 60-nm L/S patterns with a steep cross-sectional profile. Development of an immersion fluid with a high refractive index and low optical absorption is critical issue for making 157-nm immersion lithography practical. We have identified several fluorinated polymers with high diffractive indices and will continue searching for suitable 157-nm immersion fluids.
Various fluorinated polymers were synthesized for application in 193-nm immersion lithography with the goal of improving 157-nm photoresist performance. Their fundamental properties were characterized, such as transparency at 193-nm and 157-nm (wavelength) and solubility in water and a standard alkaline developer. High transparency, i.e., absorbance better than 0.3 μm-1 at 193-nm wavelength, was achieved. The dissolution behaviors of them were studied by using the Quartz Crystal Microbalance (QCM) method. We find that the dissolution rate of Poly(norbornene-2-fluoro-2-hexafluoroalchol) (PNB1FVIP) in 0.065N tetramethylammonium hydroxide (TMAH) was >200 times (nm/s) faster than that of the copolymer of tetrafluoroethylene (TFE) and norbornene-2-fluoro-2-hexafluoroalchol (TFE/NB1FVIP). A resist based on TFE/NB1FVIP was able to delineate 75 nm dense lines by exposure at 193-nm (wavelength) with an alternating phase shift mask using a 0.75 NA ArF scanner. The dissolution rates of the fluoropolymers in water and a 0.262N and 0.065 TMAH can be controlled by optimizing counter monomers containing hexafluoroisopropanol (HFA) unit, carboxylic acid unit and so on. In addition, we have collect water contact angle data. This data shows that fluoropolymers can be used as resist cover materials for 193-nm immersion lithography.
Chromeless Phase Lithography is known as an effective resolution enhancement technique for isolated line patterns. We fabricated a chromeless phase lithography mask for 157-nm lithography, and evaluated the lithographic performance using a 0.90 numerical aperture 157-nm microstepper. To obtain the best resolution, illumination condition was optimized to conventional illumination with 0.7 partial coherence (σ) using lithography simulation. In the exposure experiment, 30-nm-wide isolated line, 30-nm-wide 140-nm-pitch line-and-space, and 30-nm-wide static random access memory (SRAM) gate patterns were resolved. Further lithography simulation results indicated that the resolution limit of 24-nm would be obtained by eliminating the image degradation factors such as the aberration, flare, and central obscuration.
157-nm lithography processes together with optimization of mask feature size and illumination conditions and chromeless mask (CLM) of mesa-type were used to fabricate a 45-nm gate by combining a high numerical aperture (NA) lens with off-axis illumination (OAI) and using Si-containing resist. It was observed that the minimum pitch for forming a 45-nm line was 140-nm. It was also shown that quadrupole illumination was the optimum OAI condition and the optimum mask feature size for forming a 45-nm line of 200-nm pitch was between 50 nm to 55 nm. In these conditions the normalized image log-slope value was about 3.0. It was demonstrated that a 45-nm SRAM gate with a depth of focus of 150 nm could be fabricated by combining these resolution enhancement techniques with high NA lithography and Si-containing resist. Furthermore the 45-nm SRAM-gate pattern was successfully transferred with a bi-layer process. From these results it was proven that fabrication of 45-nm node device could be achieved by using CLM with high NA lithography.
The bilayer process we developed for 157-nm lithography uses a fluorine-containing silsesquioxane-type resist (F-SSQ). Gate fabrication is done by using a F-SSQ(90 nm)/organic film(200 nm)/poly-Si(150 nm)/SiO2(10 nm)/Si structure. The organic film works well as an anti-reflecting layer. Using a microstepper with a numerical aperture of 0.90 and optimizing the resist thickness, we made a 50-nm 1:1 line-and-space (L/S) pattern by using an alternative phase-shifting mask and made a 45-nm SRAM by using a chromeless phase lithography mask. Neither resist pattern footing nor undercutting was observed on the organic film. The reactive ion etching (RIE) selectivity between the F-SSQ and the organic film was sufficient (about 7), the resist pattern was transferred to the underlayer, and both 50-nm 1:1 L/S and 45-nm SRAM gate patterns were made using the organic film as an etching mask. Contact hole (C/H) fabrication is done by using a F-SSQ(105 nm)/organic film(400 nm)/tetraethyl orthosilicate (TEOS)-SiO2(1200 nm)/Si structure, and we made a 75-nm 1:1 C/H pattern by using the microstepper with a binary mask. The RIE selectivity was sufficient (about 15) for making high-aspect-ratio contact holes, and we made a 75-nm 1:1 C/H pattern in 1200-nm-thick TEOS. This bilayer process is thus promising for making 65-nm-node semiconductor devices.
Main-chain-fluorinated base-resins, using the copolymer of tetrafluoroethylene and functional (hexafluoroisopropanol (HFA) group) norbornene, were synthesized. Partial protection of its hydroxyl group as ethoxymethyl group was achieved by two methods, by copolymerization (Method A) or by polymer reaction (Method B). The partial protection by copolymerization was conducted by copolymerizing TFE with the mixture of protected and unprotected monomers (Method A, copolymerization). The partial protection was also carried out by reacting hydroxyl group of the polymer, which is composed of TFE and unprotected monomers with ethoxymethyl chloride in the presence of an amine (Method B). In the polymer reaction, only exo position of the norbornene unit was protected. Their fundamental properties, such as transparency at 157 nm and solubility in a standard alkaline developer, were characterized and studied. A high transparency, i.e., absorbance of less than 0.4 μm-1, was achieved in both methods. However, the polymer prepared by the polymer reaction (Method B) was deprotected more quickly. And this polymer had a higher dissolution rate and development contrast than the polymer prepared by copolymerization (Method A). The Positive-working resists based on this fluororesins were developed and 55 nm dense lines could be delineated by the exposure at 157 nm wavelength with alternating phase shift mask on a 0.9 NA 157 nm exposure tool.
Fluoropolymers are key materials in the single-layer resists used in 157-nm lithography. We have been studying fluoropolymers to determine their potential use as base resins. These polymers are main-chain fluorinated polymers synthesized by co-polymerizing tetrafluoroethylene (TFE) and functional norbornene. We developed a new polymer that is highly transparent and has high dry-etching resistance by attaching a PG-F protecting group, which has high dry-etching resistance, to a TFE/norbornene-based fluorinated polymer. The dry-etching rate for the 15 % blocked polymer was 1.50 times that of a KrF resist and its absorption coefficient at a 157-nm-exposure wavelength was 1.06 /μm. We introduced various photoacid generators (PAGs) to the polymer, and compared lithographic performance. As a result, we found polymer with a triphenylsulfonium-salts-based PAG had a good pattern profile, and polymer with a high-acidity PAG resolved a fine pattern. In particular, polymer with a triphenylsulfonium perfluorooctane sulfonate PAG was able to resolve a 60-nm line and space pattern. We then added various quenchers to the polymer and the PAG, and compared pattern profiles. We found that the use of a high-basicity quencher improved the resolution of the resist and line edge roughness. Consequently, that the polymer with the triphenylsulfonium perfluorooctane sulfonate PAG and tributylamine quencher could resolve a 55-nm line and space pattern. These results provided guidelines for choosing the PAG and quencher for this polymer.
We evaluated the requirements for 65-nm SRAM gate fabrication using attenuated phase shifting masks (att-PSM). Off-axis illumination (OAI) and att-PSM, together with optical proximity correction (OPC) were used as resolution enhancement techniques (RETs) for ultimate resolution. It was shown that the photolithographic parameters of the transmittance of the att-PSM and the illumination conditions for optimum conditions were a transmittance of between 15 and 20% and 3/4 annular illumination. The exposure latitude was simulated to be more than 10.9% at 300-nm defocus for a critical dimension (CD) specification of 10%. It has been demonstrated that a 65-nm SRAM-gate, with a line and space (L/S) ratio limited to 1:2 at the minimum pitch, could be fabricated with sufficient depth of focus (DOF). The pattern transfer was accomplished with a bi-layer process, in which the reactive ion etching (RIE) selectivity between a silicon-containing resist and an organic film is very high. This bi-layer process enabled the application of a very thin resist layer. The conditions described in this paper proved successful for the fabrication of a 65-nm SRAM gate with a good pattern profile despite the resist thickness of less than 120nm.
Novel fluoropolymers having partially fluorinated monocyclic (5-membered and 6-membered ring) structure have been synthesized with radical cyclo-polymerization, which have C-F bond in the polymer main chain and also possess fluorocontaining acidic alcohol group. These polymers have excellent transparency lower than 1.0 μm-1 at 157nm wavelength, a small amount of outgassing, high sensitivity and good adhesion to the wafer. However, this fluoropolymer have lower etching resistance (half of conventional KrF resists) and it must be improved for applying to the single-layer resist. In this paper, we show the new model of the estimation of the dry-etching resistance for designing polymer compositions. It is well known that the model using carbon-atom-density as a parameter is useful for estimating dry-etching resistance. However, these models did not agree with the results of our fluoropolymers. Our new model was focused on the surface area and the volume of the polymer. We succeeded to explain the relationship between the dry-etching resistance and the composition of the fluoropolymer. According to this model, the compositions of fluoropolymer such as protective groups, protective ration and co-polymer units were optimized to improve their etching resistance.
This paper presents a study of the CD accuracy of phase-edge lithography for 130-nm-node CMOS-gate patterning. In phase- edge lithography, although large process margins are obtained, precise OPC (Optical Proximity effect Correction) is necessary because of large proximity effect. Rule-based OPC was applied to phase-edge gate patterns (Lg equals 100 nm) with KrF exposure in fabricating 130-nm CMOS LSIs. Proximity effects due to pattern variations in the arrangement of the phase shift mask, the effect of double exposure, the micro- loading effect in dry etching and differences between the etching rates for nMOS and pMOS were all corrected. The variations in CD that were due to the proximity effect decreased from +/- 15 nm to +/- 5 nm by applying the OPC. Although the error in CD was decreased, process margins for specific pattern arrangements were degraded by lens aberration. Analysis shows that the image performance of an asymmetric pattern is strongly affected by odd-order aberrations and may reduce the process margins for those patterns. The suppression of lens aberration and symmetric phase-shifting mask designs which are less sensitive to aberrations are essential as ways to achieve highly accurate control of CD in applying the phase-edge method.
This paper discusses a new PSM algorithm to generate a pair of sub-mask patterns to achieve 2-D random patterns by double exposure. Test layout was automatically decomposed into two sub-PSMs with about 190 steps of geometrical operations including simple OPCs. Both simulation and experiments showed that 0.28-micrometer pitch random wiring is achieved with our method, suggesting local wiring at 70- (50-) nm node logic LSIs combined with ArF (F2) exposure tools.
To describe complex acid/quencher interaction and their mutual diffusion in imaging with chemically amplified resist films, our acid-quencher mutual diffusion/quenching model is implemented to the fast resist image simulator. Accuracy better than 10-nm was obtained over wide varieties of 0.13- node metal-level pattern features. The model also suggested that diffusion of quencher, as well as that of acid, significantly degrades proximity effects and MEF.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.