KEYWORDS: Carbon, Silicon, Etching, Polymers, System on a chip, Scanning electron microscopy, Silicon carbide, Oxides, Reactive ion etching, Optical lithography
For self-aligned multiple patterning, higher etch selectivity between mandrel and spacer is desired to lessen roughness, and thereby prevent pitch walk. We selected dual carbon layers as mandrels and silicon oxide films as spacers for a new self-aligned quadruple patterning process since they potentially provide infinite etch selectivity. We gained insolubility and etch selectivity between two carbon layers by infiltrating trimethylsilyldimethylamine into one of the carbon layers under the ambient atmosphere. Significantly, neither necking nor recess were observed when the spin-on-glass antireflective coating was removed. Thus, a SAQP scheme was developed and successfully demonstrated a sub15-nm halfpitch pattern. Additionally, this scheme improves affordability since all the processes can be performed in the ambient pressure within a coater module.
Lithographic scaling continues to advance by extending the life of 193nm immersion technology, and spacer-type multi-patterning is undeniably the driving force behind this trend. Multi-patterning techniques such as self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) have come to be used in memory devices, and they have also been adopted in logic devices to create constituent patterns in the formation of 1D layout designs. Multi-patterning has consequently become an indispensible technology in the fabrication of all advanced devices. In general, items that must be managed when using multi-patterning include critical dimension uniformity (CDU), line edge roughness (LER), and line width roughness (LWR). Recently, moreover, there has been increasing focus on judging and managing pattern resolution performance from a more detailed perspective and on making a right/wrong judgment from the perspective of edge placement error (EPE). To begin with, pattern resolution performance in spacer-type multi-patterning is affected by the process accuracy of the core (mandrel) pattern. Improving the controllability of CD and LER of the mandrel is most important, and to reduce LER, an appropriate smoothing technique should be carefully selected. In addition, the atomic layer deposition (ALD) technique is generally used to meet the need for high accuracy in forming the spacer film. Advances in scaling are accompanied by stricter requirements in the controllability of fine processing. In this paper, we first describe our efforts in improving controllability by selecting the most appropriate materials for the mandrel pattern and spacer film. Then, based on the materials selected, we present experimental results on a technique for improving etching selectivity.
Gridded design rules[1] is major process in configuring logic circuit used 193-immersion lithography. In the scaling of grid patterning, we can make 10nm order line and space pattern by using multiple patterning techniques such as self-aligned multiple patterning (SAMP) and litho-etch- litho-etch (LELE)[2][3][4] . On the other hand, Line cut process has some error parameters such as pattern defect, placement error, roughness and X-Y CD bias with the decreasing scale. We tried to cure hole pattern roughness to use additional process such as Line smoothing[5] . Each smoothing process showed different effect. As the result, CDx shrink amount is smaller than CDy without one additional process. In this paper, we will report the pattern controllability comparison of EUV and 193-immersion. And we will discuss optimum method about CD bias on hole pattern.
Multi-patterning has been adopted widely in high volume manufacturing as 193 immersion extension, and it becomes realistic solution of nano-order scaling. In fact, it must be key technology on single directional (1D) layout design [1] for logic devise and it becomes a major option for further scaling technique in SAQP. The requirement for patterning fidelity control is getting savior more and more, stochastic fluctuation as well as LER (Line edge roughness) has to be micro-scopic observation aria.
In our previous work, such atomic order controllability was viable in complemented technique with etching and deposition [2]. Overlay issue form major potion in yield management, therefore, entire solution is needed keenly including alignment accuracy on scanner and detectability on overlay measurement instruments. As EPE (Edge placement error) was defined as the gap between design pattern and contouring of actual pattern edge, pattern registration in single process level must be considerable. The complementary patterning to fabricate 1D layout actually mitigates any process restrictions, however, multiple process step, symbolized as LELE with 193-i, is burden to yield management and affordability. Recent progress of EUV technology is remarkable, and it is major potential solution for such complicated technical issues. EUV has robust resolution limit and it must be definitely strong scaling driver for process simplification. On the other hand, its stochastic variation such like shot noise due to light source power must be resolved with any additional complemented technique.
In this work, we examined the nano-order CD and profile control on EUV resist pattern and would introduce excellent accomplishments.
KEYWORDS: Optical lithography, Logic, Lithography, Etching, Process control, System on a chip, Photoresist materials, Line edge roughness, Photoresist processing, Critical dimension metrology, Atomic layer deposition
Gridded design rules[1] is major process in configuring logic circuit used 193-immersion lithography. In the scaling of grid patterning, we can make 10nm order line and space pattern by using multiple patterning techniques such as self-aligned multiple patterning (SAMP) and litho-etch- litho-etch (LELE)[2][3][5] . On the other hand, Line cut process has some error parameters such as pattern defect, placement error, roughness and X-Y CD bias with the decreasing scale. Especially roughness and X-Y CD bias are paid attention because it cause cut error and pattern defect. In this case, we applied some smoothing process to care hole roughness[4]. Each smoothing process showed different effect on X-Y CD bias. In this paper, we will report the pattern controllability comparison of trench and block + inverse. It include X-Y CD bias, roughness and process usability. Furthermore we will discuss optimum method focused on X-Y CD bias when we use additional process such as smoothing and shrink etching .
The continuously scaling of complex device geometries is driving by the self-aligned multiple patterning techniques. Depending on such simplified LS scaling, FinFET design rule has been accelerated to unidirectional design layout. [1] In particular Fin, Gate and Metal layers are based on grating with cutting/blocking scheme, these process have become high volume manufacturing techniques in N14 and beyond.[2,3] On the other hand, immersions based pitch scaling of contact hole, via and cutmask processes are required multiple lithography and etching passes.[4] Overlay management is not only the overlay accuracy of layer to layer, to determine the placement error and patterning fidelity in single layer. In this work, focusing on the placement in hole pattern, total placement error budget will be discussed from the viewpoints of metrology, inspection, Mask, OPC and wafer processing. In addition, hole shrink and hole healing techniques have more significant factors in terms of design-process technology co-optimization for N7 and beyond.[5]
One of the practical candidates to produce 7nm node logic devices is to use the multiple patterning with 193-immersion exposure. For the multiple patterning, it is important to evaluate the relation between the number of mask layer and the minimum pitch systematically to judge the device manufacturability. Although the number of the time of patterning, namely LE(Litho-Etch) ^ x-time, and overlay steps have to be reduced, there are some challenges in miniaturization of hole size below 20nm. Various process fluctuations on contact hole have a direct impact on device performance. According to the technical trend, 12nm diameter hole on 30nm-pitch hole will be needed on 7nm node. Extreme ultraviolet lithography (EUV) and Directed self-assembly (DSA) are attracting considerable attention to obtain small feature size pattern, however, 193-immersion still has the potential to extend optical lithography cost-effectively for sub-7nm node. The objective of this work is to study the process variation challenges and resolution in post-processing for the CD-bias control to meet sub-20nm diameter contact hole. Another pattern modulation is also demonstrated during post-processing step for hole shrink. With the realization that pattern fidelity and pattern placement management will limit scaling long before devices and interconnects fail to perform intrinsically, the talk will also outline how circle edge roughness (CER) and Local-CD uniformity can correct efficiency. On the other hand, 1D Gridded-Design-Rules layout (1D layout) has simple rectangular shapes. Also, we have demonstrated CD-bias modification on short trench pattern to cut grating line for its fabrication.
Multi-patterning technology using 193nm immersion lithography has been used since the 22nm logic node generation and it appears that it will continue to be used as far as the 14nm generation. At the same time, the industry trend is to simplify pattern design and reduce complexity in lithography though single directional (1D) layout[1]. On the other hand, there is increasing concern about pattern placement error in the application of this technology. This paper focuses on pattern placement variation in the process steps of pattern formation in 1D layout design, presents the results of a study on the effects of factors other than overlay accuracy on microscopic behavior, and describes techniques for improving pattern placement.
KEYWORDS: Line edge roughness, Optical lithography, Etching, Critical dimension metrology, Double patterning technology, Line width roughness, Photoresist materials, Lithography, Cadmium, System on a chip
Through the continuous scaling with extension of 193-immersion lithography, the multi-patterning process with the grid-based design has become nominal process for fine fabrication to relax tight pitch designs[1]. In self-aligned type multiple patterning, 7 nm node gate pattern was reported[2],[3] and it was become a focal point LER on core-pattern is essential category to control pattern placement variations. Though CD uniformity (CDU) on line pattern in self-aligned double patterning (SADP) is relatively stable caused in high thickness controllability of spacer deposition films, the variations of CDU and LER on first core pattern impinge the CDU on space and pitch pattern. In previous study, pattern fidelity of single exposure patterning was improved through photoresist smoothing process using direct-current superposition technique[4],[5].
In this paper, we will report that photoresist smoothing work in an efficient way to pattern fidelity control in self-aligned type multiple patterning.
KEYWORDS: Optical lithography, Etching, System on a chip, Critical dimension metrology, Metals, Lithography, Carbon, Logic devices, Process control, Copper
Self-aligned multiple patterning technique has enabled the further down scaling through 193 immersion lithography extension [1-5]. In particular, focus on the logic device scaling, we have finished the verification of patterning technology of up to 10nm node [6-7], we will discuss about some patterning technologies that are required to 7nm node. For critical layers in FinFET devices that presume a 1D cell design, there is also a need not just for the scaling of grating patterns but also for pattern cutting process. In 7nm node, cutting number increase in metal or fin layer, and also pattern splitting of contact or via is complicated, so both cost reduction and process controllability including EPE are strongly required. For example, inverse hardmask scheme in metal layer can improve CD variation of the Cu wiring. Furthermore hole pattern shrink technology in contact layer, by the combination with the exposure technique which has k1 0.25 or less, can achieve both cost reduction and reducing the numbers of pitch splitting. This paper presents the possibility of immersion-based multiple patterning techniques for up to 7nm node.
KEYWORDS: Etching, Line edge roughness, Optical lithography, Photoresist materials, Plasma treatment, Fabrication, Lithography, Edge roughness, Control systems, System on a chip
One of most promising technique for the extension of 193nm immersion lithography must be Self-Aligned Multiple Patterning (SAMP) at the present. We have studied this SAMP in several aspects, which are scaling capability, mitigation of process complexity, pattern fidelity, affordability and so on. On the other hand, Gridded Design Rule (GDR) concept with Single directional layout (1D layout) extended the down-scaling with 193-immersion furthermore and relieve the process variation and process complexity, represented in Optical proximity effect (OPE), by simplification of layout design. In 1D layout fabrication, Key process steps might be edge placement control on grating line and controllability of hole-shrink technique for line-cutting. This paper introduces current demonstration results on pattern transfer fidelity control and hole-shrink technique as combined with unique pattern shape repair approach.
KEYWORDS: Line edge roughness, Etching, System on a chip, Line width roughness, Lithography, Semiconductors, Critical dimension metrology, Scanning electron microscopy, Double patterning technology, Photoresist materials
Pattern roughness is expected to be an important issue in semiconductor scaling going forward. We performed
smoothing of ArF photoresists (PRs) by a PR hardening technique called direct current superposition (DCS)
cure,1) and we showed that this technique can achieve a roughness smoothing effect for PRs having various line
edge roughness (LER) conditions. Additionally, we showed that this smoothing technique has many process
advantages from the viewpoint of lithography, such as an improved mask error enhancement factor (MEEF),
expanded process window, and improved local critical dimension (CD) uniformity. We consider that these
advantages occur because of a CD healing effect caused by linear dependence of shrink amount with line width
due to the DCS cure technique.
Extreme ultraviolet (EUV) lithography is the leading candidate for sub-20nm half-pitch (hp) patterning
solution, but the development of a high-output light source is still in progress thereby delaying the adoption
of EUV for mass production. The evolution of 193nm immersion lithography-an exposure technology
currently used in the mass production of all advanced devices-must therefore be extended, and to this end,
self-aligned multiple patterning (SAMP) processes have come to be used to achieve further down scaling. To
date, we have demonstrated the effectiveness of self-aligned double patterning (SADP) and self-aligned
quadruple patterning (SAQP) as innovative processes and have reported on world-first scaling results at SPIE
on several occasions. However, for critical layers in FinFET devices that presume a 1D cell design, there is
also a need not just for the scaling of grating patterns but also for line-cutting techniques (grating and cutting).
Under the theme of existing- technology extension to sub-10nm logic nodes, this paper presents the potential
solutions of sub-10nm hp resolution by self-aligned octuple patterning (SAOP) and discusses the limits of
shrink technology in cutting patterns.
The optical projection technique with evolution of Exposure wave length (λ) and Numerical
Aperture (NA) has been historically driven Photolithographic scaling. Although the delay of
EUV tool for HVM has been concerned, scaling is going on steadily after limitation of
193nm-immersion technique. Double patterning process has been firstly adopted in 30nm node
device of memory device, and evolved step by step from SADP, SAQP to SAOP [1][2][3].
Self-Aligned Multiple-Patterning (SAMP) with 193-immersion is getting most promising
technology for further downwards scaling at the present. For the extension of 193-immersion, many
solutions in mask and illumination area were suggested, and these are represented by SMO (Source
and Mask Optimization) and linked to “Computational lithography”. Furthermore, the change of
device layout design to 1D (Single directional) layout [4] is the solution to mitigate several process
issues, which are represented by process variability, pattern fidelity and Edge placement error
(EPE).
This paper presents the results of observing pattern fidelity in the multiple patterning process from
many aspects and the results of testing a technique for high-accuracy management of pattern fidelity
in 1D layout.
EUV lithography is one of the most promising techniques for sub-20nm half-pitch HVM devices, however it
is well known that EUVL solutions still face significant challenges. Therefore we have focused on 193
immersion extension by using a self-aligned multiple patterning (SAMP), and this technique easily enables
fine periodical patterning. Spacer patterning techniques have already been applied to sub-20nm hp advanced
devices. In general, SAMP consists of SADP, SATP, SAQP, etc. We have already introduced about
evolutional schemes and cost effective processes in past SPIE sessions.[1-12] SAQP enable further
down-scaling to 10nm hp from SADP levels, however we must consider next advanced solution for
sub-10nm hp resolution. In this paper, we will discuss about a possibility of 193 immersion extension using
SAOP (self-aligned octuple patterning).
KEYWORDS: Line edge roughness, Etching, Double patterning technology, Critical dimension metrology, Photoresist processing, Extreme ultraviolet, Electron beam lithography, Lithography, System on a chip, Optical lithography
The double patterning process has become a technology for extending the life of 193-nm immersion lithography. It is the most useful techniques of advancing downscaling in semiconductors and can theoretically be used scale infinitely down. For the self-aligned type of double patterning, such as self-aligned double patterning (SADP), self-aligned triple patterning (SATP), and self-aligned quadruple patterning (SAQP)[1], we have reported that spacer-pattern processing is more difficult than line-pattern processing since the former includes more fluctuating factors, and that improving the performance of the core pattern is essential to solving this problem. Similarly, as calls for even more improvement in line edge roughness (LER) have come to be made, we have investigated the relationship between the core pattern and LER. Thus, given the importance of finding a means of securing pattern fidelity in the core pattern to improve critical dimension uniformity (CDU) and LER, we improved resist contrast resulting in dramatically reduced LER and improved spacer CD uniformity over the wafer surface. This paper presents the results of observing pattern fidelity in the double patterning process from many aspects and the results of testing a technique for high-accuracy management of pattern fidelity.
EUV lithography is one of the most promising techniques for the advanced patterning, however it is well known that EUVL solutions still face significant challenges. Therefore we have focused on 193 based self-aligned multiple patterning, because SAMP(SADP to SAQP) easily enables fine periodical patterning. As you know, current EUVL cannot satisfy enough resolution for sub 10nm hp critical patterning. We have already introduced innovative 193 based SADP/SAQP techniques and have demonstrated results in past SPIE sessions.[1][2][3][4] we will recommend the dry cleaning technique for the pattern collapse issue of 2nd core formation. On the other hand, we have to assume the possibility of EUV+SADP in order to interpolate the EUV resolution limit. In this paper, we will discuss about the requirement process factors of 193+SAQP and EUV+SADP.
Photolithography has been a driving force behind semiconductor scaling, but the technology has been at a standstill since the development of 193-nm water-based immersion lithography. As a consequence, the double patterning process has become the standard technology for diverse types of semiconductor devices as a means of extending the life of 193-nm exposure technology. We have previously reported on the extendibility and versatility of the double patterning process, from pitch-doubling by self-aligned double patterning (SADP)[1] to pitch-quadrupling by self-aligned quadruple patterning (SAQP)[2]. We also reported on the effectiveness of SADP technology for increasing resolution in hole patterns. While waiting for the development of extreme ultraviolet (EUV) lithography tools to be completed, it will be necessary to search out possibilities for further semiconductor scaling using the double patterning process as the mainstream technique for extending the life of 193-nm immersion lithography.
Double Patterning process is one of the most promising lithography techniques for sub-40nm half-pitch technology node. Especially, Self-aligned spacer Double Patterning (SADP) has been adopted in HVM of NAND FLASH memory device[1], and it is expanding to employ in DRAM and logic device. If EUVL should not be ready on time, the industry will likely further extend DP to multiple patterning. Our proposed photo-resist core SADP has wide extendibility to Self-aligned Pitch-Tripling (SATP) and Pitch-Quadrupling (SAQP) achieved 11nm hp as introduced in previous our study[2]. Sa-MP has been required to mitigate a process complexity and cost impact. Furthermore, Process variability, Pattern fidelity, CD metrology for sub 20nm pattern also has to be considered. Beside the invention of novel technical solutions, Double-patterning process is evolving steadily and its applicability is widened.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.