The semiconductor technology roadmap suggests that multiple patterning techniques will be used at the 7nm node. The final lithography accuracy is determined by what is known as the "on-product" performance, which includes projection lens heating, illumination condition variations, product wafer related errors, and long term stability. It is evident that on product performance improvement is imperative now, and will become even more crucial in coming years. Nikon has developed the next-generation lithography system focusing on optimizing the main factors impacting on product performance. In this paper, we will introduce the details of the next-generation Nikon scanner and provide supporting performance data.
High throughput with high resolution imaging has been key to the development of leading-edge microlithography. However, management of thermal aberrations due to lens heating during exposure has become critical for simultaneous achievement of high throughput and high resolution. Thermal aberrations cause CD drift and overlay error, and these errors lead directly to edge placement errors (EPE). Management and control of high order thermal aberrations is a critical requirement. In this paper, we will show practical performance of the lens heating with dipole and other typical illumination conditions for finer patterning. We confirm that our new control system can reduce the high-order aberrations and enable critical-dimension uniformity CDU during the exposure.
NSR-S622D, Nikon’s new ArF immersion scanner, provides the best and practicable solutions to meet the escalating requirement from device manufactures to accommodate the further miniaturization of device pattern. NSR-S622D has various additional functions compared to the previous model such as the newly developed illumination system, new projection lens, new AF system new wafer table in addition to the matured Streamlign platform. These new features will derive the outstanding performance of NSR, enabling highly controlled CD uniformity, focus accuracy and overlay accuracy. NSR-S622D will provide the adequate capabilities that are demanded from a lithography tool for production of 1x nm hp node and beyond.
Accurate overlay with high throughput is the key to success in multiple-patterning lithography. To achieve accurate overlay, the imaging system must control and minimize the thermal aberration and distortion. There are several sources of thermal aberration in an immersion lithography system: (1) reticle deformation by reticle heating; (2) air temperature fluctuation near the reticle; (3) thermal aberrations from the projection lens; and (4) immersion water temperature fluctuation. All aberrations and distortion are impacted by these sources and need to be minimized for accurate overlay. In this paper, we introduce our approach and technologies for the control of thermal aberrations.
Source & Mask Optimization1 (SMO) is a promising candidate to realize further reduction of k1 factor to achieve 22nm
feature lithography and beyond. To make the SMO solutions feasible all imaging-related parameters should be closer to
the designed parameters used in SMO process.
In this paper, we discuss how we realize this in the imaging system setup on the scanner. The setup process includes
freeform pupilgram generation, pupilgram adjustment and thermal aberration control. For each step the important
factors are speed and accuracy.
In order to realize further improvement of productivity of semiconductor manufacturing, higher throughput and better
imaging performance are required for the exposure tool. Therefore, aberration control of the projection lens is becoming
more and more important not only for cool status performance but also heating status. In this paper, we show the
improvements of cool status lens aberration, including scalar wavefront performance and polarization aberration
performance. We also discuss various techniques for controlling thermal aberrations including reduction of heat in the
lens, simulation, compensating knob, and adjusting method with actual imaging performance data during heating and
cooling.
High lens numerical aperture for improving the resolution of a lithographic lens requires a high incident angle of
exposure light in resist, which induces the vectorial effect. As a result, the vectorial effect has become more sensitive and
vectorial fingerprint with higher accuracy has been required for effective image forming simulation. We successfully
obtained true polarization characteristics of projection optics without the effect of measurement optics for more accurate
image forming simulation. Accuracy of the result of separating Jones matrix of projection optics and that of
measurement optics are presented.
The k1 factor continues to be driven downwards, even beyond its theoretical limit 0.25 in order to enable the 32 nm feature generation and beyond. Due to the extremely small process window that will be available for such extremely demanding imaging challenges, it is necessary that each unit contributing to the imaging system be driven to its ultimate performance capability. The units in such an integrated imaging system include the projection lens, illumination optics, in-situ metrology tooling, reticle stage control, and wafer stage control. In this paper we are going to discuss the required functions especially for projection lens and illumination system and how to optimally control each unit in cooperation with the others in order to achieve the goal of 32 nm patterning and beyond.
The k1 factor continues to be driven downwards, even beyond its theoretical limit 0.25, in order to enable the 32 nm
feature generation and beyond. Due to the extremely small process-window that will be available for such extremely
demanding imaging challenges, it is necessary that not only each unit contributing to the imaging system be driven to its
ultimate performance capability, but also that the final integrated imaging system apply each of the different
components in an optimum way with respect to one another, and maintain that optimum performance level and
cooperation at all times. Components included in such an integrated imaging system include the projection lens,
illumination optics, light source, in-situ metrology tooling, aberration control, and dose control. In this paper we are
going to discuss the required functions of each component of the imaging system and how to optimally control each unit
in cooperation with the others in order to achieve the goal of 32 nm patterning and beyond.
In order to respond to the constant demand for more productivity in the manufacture of IC devices, higher throughput
and higher resolution are fundamental requirements for each new generation of exposure tools. However, meeting both
requirements lead to unwanted aberration we refer to as "thermal aberration". In our experience, the problem of the
thermal aberrations does not correlated only to the duration of heavy use. It depends very strongly on both the optical
settings and the mask patterns, also even on the specific interaction between the two. So, even if using the same
illumination configurations, there is a possibility to observe different distribution of thermal aberrations. In this paper,
we define and investigate various patterns to be used as targets for thermal aberrations compensation. These patterns are
identified as the "weak patterns" of the thermal aberration. We assess several cases of thermal aberrations, and show
how the optimized compensation for each is determined and then applied on the actual exposure tools.
High index immersion lithography is one of the candidates for next generation lithography technology following water
immersion lithography. This technology may be most attractive for the industry since it is effective in raising resolution
without seriously changing the chip making processes. This motivates us to continue to study further NA expansion
although there are many challenges with respect to either high index fluid development or high index lens material
development. In this paper, the current status of high index lithography development compared with the industry's
requirements is discussed while considering design feasibility.
In this paper we will present the progress that has been made in the area of tool development for ArF Immersion. The
local fill nozzle design adopted by Nikon has been implemented in the world's first production Immersion tools, the
S609B and S610C, to produce bubble free and low defect imaging. Defect, imaging and overlay results from the S609B
are presented showing manufacturing level results. First imaging results from the 1.30 NA S610C are also reported
showing the tools capability to image at the 45nm node and beyond. Beyond 1.30 NA it is likely that high index
materials will be required. We examine the prospects for taking immersion to lens NA's of around 1.55 with second
generation fluids and even 1.70 NA with third generation fluids. However, it cannot be forgotten that this also requires
new glass materials for lenses; the status of these will also be discussed. It is likely that high index immersion, if
implemented, will not be in time for most customers' roadmaps, in the interim it is likely that Double Patterning (DP)
will be used with potential cost penalites. The potential applications of this technique will be briefly discussed.
For many years, we have used a lens aberration controller that works via positioning elements of the projection lens
assembly. While this has worked well, its disadvantage is that controllable aberrations are only relatively low order
components and not enough for the degree of compensation of thermal aberrations required by leading-edge
lithography.
We have developed two methods to overcome thermal aberrations specific to dipole illumination exposure. One
scheme is process-dedicated aberration control by the conventional aberration controller. The other is aberration control
system using infra-red irradiation. This system can compensate uniform astigmatism which is generated by asymmetric
setting of illumination light sources, such as dipole illumination schemes.
Theses two techniques allow us to increase productivity by reducing pattern imaging performance degradation due to
thermal aberrations. These schemes are applicable not only to current systems but also to next generation very low k1
lithography systems with very high throughput.
High index immersion lithography (HIL) is one candidate for the next generation lithography technology following
water immersion lithography. This technology may require only moderate changes of chip making processes and result in
lower cost of ownership (CoO) compared with other technologies such as double processing, extreme ultra violet
lithography (EUVL), and nano-imprinting, and other technologies. In this paper, the current status of high index lens
material and immersion fluid development compared with our requirements is discussed considering microlithographic
lens design feasibility and attainable NA.
In the history of DUV (Deep Ultra Violet) microlithographic lens design, three kinds of leaps have occurred to maintain
the progress of technology in the semiconductor industry. The first step is the application of aspherical elements. This
allowed us to increase NA up to around 0.9. The second innovation is water immersion. Thanks to the 1.44 refractive
index of water, and because the numerical aperture (NA) is defined as the product of the sine of the maximum ray angle
on the image plane and the refractive index in the image space, even with a lower maximum ray angle on the imaging
plane than dry with a lens, we can achieve NA of 1.07. The latest technological jump is the development of
catadioptric lens systems, which are roughly defined as the combined usage of refractive element(s) and reflective
element(s). The catadioptric system allows us to achieve a full field 1.3NA projection lens that is used in our scanner
NSR-S610C. In this paper we discuss optical design concepts and some challenges for catadioptric lenses. In addition,
current lens performance including wavefront, lens flare, and image vibration are shown.
The evolution of the microlithographic lens is described based on an analysis of main features of the lenses in each generation. Even current lenses, comprising more than 20 elements, can be understandable through the analysis.
Immersion lithography is rapidly approaching the manufacturing phase. A production-quality exposure tool system with NA=1.07 (Nikon NSR-S609B) was constructed to target the start of immersion lithography for IC manufacturing in 2006. Its projection optics have very small wavefront aberration and lowest local flare levels. The overlay issue has been analyzed, and its cause was found to be evaporation cooling. With the tandem stage and local fill nozzle implemented in the S609B, we have successfully avoided the evaporation cooling so that the good wet-to-dry mix-and-match overlay data have been obtained. The major part of immersion specific defects is caused by dried water-droplets, i.e. water-marks. The local fill nozzle has eliminated this defectivity by avoiding air flow in the nozzle. In the future, water immersion with NA=1.30 optics will be used for half-pitch 45nm manufacturing. Finer pattern imaging down to 32nm seems to need high-index material immersion or nonlinear double patterning, but these have several issues and concerns to be solved.
The history of Nikon's projection lens development for optical microlithography started with the first "Ultra Micro-Nikkor" in 1962, which was used for making photo-masks. Nikon's first wafer stepper "NSR-1010G" was developed with a g-line projection lens in 1980. Since then, many kinds of projection lenses have been developed for each generation of stepper or scanner. In addition to increasing numerical aperture (NA) and field size, there have been many technical transitions for the projection lens, such as shortening the wavelength, controlling Zernike aberrations with phase measurement interferometry (PMI) for low k1 lithography, using aspherical lenses, applying kinematic optomechanical mounts, and utilizing free asphere re-polishing steps in the lens manufacturing process. The most recent advancement in projection lens technology is liquid immersion and polarization control for high NA imaging. NA now exceeds 1.0, which is the theoretical limit for dry (in air) imaging. At each transition, the amount of information that goes through the projection lens has been increased. In this paper, the history of the microlithographic lens is reviewed from several different points of view, such as specification, optical design, lens manufacturing, etc. In addition, future options of the projection lens are discussed briefly.
Resolution enhancement in ArF dry lithography is limited by the numerical aperture (NA), which cannot be extended past the physical limit of 1.0. Immersion lithography is proposed as a candidate to overcome this limitation as resolution can be enhanced with a hyper-NA immersion projection lens. In addition, depth of focus (DOF) can be extended owing to the small incident angle for marginal rays onto the image plane. Our development of immersion optics can be divided into three phases. First, the initial evaluation has successfully been conducted in the engineering evaluation tool (EET), in which the projection optics is converted from dry-use to wet-use while retaining the same NA, 0.85. Second, the projection optics with 1.07NA has been developed aiming at devices with 50-55nm half-pitch (hp) patterns. The optics, comprising only the refractive elements, is exclusively dedicated to immersion usage. Third, catadioptric optics with 1.3NA targeting at 45nm hp devices is intensively studied. This paper will focus on the second and the third phases of the development.
Present status of development of F2 (157nm) exposure tool in Nikon is described. Key points of F2 exposure tool are reported; low aberration projection optics, CaF2 quality, coating durability and gas purging of the pellicle space. We also report the measurement of refractive index inhomogeneity inside CaF2 crystals, which is suspected as the cause of local flare. Characteristics of high NA optics over 0.9 are investigated by imaging simulations for both 193nm and 157nm wavelengths, which are compared NA=0.85 imaging.
According to the International Technology Roadmap for Semiconductors (ITRS), the 65nm technology node is forecast to appear in 2007. In this paper, we propose two specifications for the projection optics at 65nm nodes. The one is over 1.0 numerical aperture (NA) at 193nm lithography by liquid immersion. The other is 0.85 NA at 157nm lithography. Since it almost impossible for traditional dioptric optics to realize these specifications, catadioptric is supposedly the leading optics for an extreme optical lithography, like 65nm node. Described in the paper are feasibility study for catadioptric optics, and our assembly strategy. Emphasis is placed on our selection methodology among a variety of catadioptric configurations.
Progress of Nikon's F2 tool development is described. Intrinsic birefringence of CaF2 reported in the middle of last year by NIST had large impact on F2 optics designing. However, we believe Nikon has already overcome it, and the imaging performance of our newest design is almost the same level to the performance without the intrinsic birefringence. Several methods to correct the intrinsic birefringence are discussed in this paper. Evaluation software for the intrinsic birefringence is also developed, and simulated performances of the newest optical designs, which correct for the intrinsic birefringence, are shown. Among them, simulated CD uniformity of 35nm width gate is a good measure to evaluate the optical design performance. We have also made a steady progress on gas purging. Purging of 02 and H20 concentration less than O.lppm and lppm respectively has been attained.
This paper describes several kinds of new technologies, which are introduced into newly developed 0.78 NA ArF projection lens for Nikon's latest DUV scanner, the NSR- S306C. A new lens configuration for an ArF projection system is obtained as a result of a minute survey of the space of the aspheric optical design. The new configuration uses fewer elements and less volume of calcium fluoride (CaF2) than a conventional type. Lens mounting performance and its stability is another key issue to realizing a high performance imaging system, because lens element deformation due to lens mounting degrades imaging performance severely. Reduction of the number of the elements of a new optical design can increase room for the opto-mechanical system. Even complicated mechanisms, such as kinematic lens mounting, can fit in the space. A pure kinematic lens mounting is developed for the new ArF projection lens system to minimize lens deformation due to lens mounting. The same mechanism is applied to the positioning scheme of a lens element for high precision lens adjustment. Simultaneous use of the new lens positioning system and a lens controller can perform high precision and rather complex lens fine-tuning. Intrinsic birefringence of calcium fluoride (CaF2) is a new item, which is a hot issue in F2 optics. Even for ArF projection lens system, the intrinsic birefringence is one of the most critical issues in terms of impact upon lens performance. Special treatment is required to avoid the degradation of imaging performance due to the intrinsic birefringence effects. Resist image comparison between an ArF lens with the treatment and that without is reviewed. Finally, actual lens performance is shown.
Current status of Nikon's F2 tool development is reported. At first, the required otpical performance of F2 tools will be discussed. Image simulation results show that the required NA for 70 nm is 0.8 or more. Then Nikon's approaches to realize the optics and tools are presented. For the F2 tools, the most important elements are the projection optics and gas purging of the light path. As for the projection optics, conventional lens type may not be applicable for F2 wavelength, and new type catadioptric optics may have to be developed. In this paper, designs of some catadioptric types and some all refractive types are shown and compared. AR-coatings are very important to obtain enough illumination power. New data on Nikon's AR-coatings are presented. For the gas purging, Nikon has already achieved oxygen concentration less than 1 ppm and further improvements are now in development. Results of gas purging are also presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.