BackgroundCurvilinear masks are coming. With multibeam mask writers in production, leading-edge mask shops now are able to write curvilinear masks in the same mask write times as any Manhattan masks. As Samsung and Luminescent showed long ago, curvilinear mask shapes produce the best wafer process windows.AimThe goal of our study is to provide an overview of the current state of the development of curvilinear masks.ApproachWe cover the technical background and motivation for curvilinear masks, and the practical application of curvilinear inverse lithography technology (ILT) masks written by both multibeam and variable-shaped beam mask writers. ILT is a form of optical proximity correction, wherein software computes target mask shapes to optimize wafer quality, both in the nominal lithographic projection conditions being as close to the target wafer shapes as possible, and to minimize the amount of variation in the shape or size due to mask and wafer manufacturing variation. We review the current state of readiness of the mask-making infrastructure for curvilinear masks, including mask rule checking, metrology, inspection, and repair. We also review studies that show that curvilinear masks bias more faithfully to the wafer, and that curvilinear masks are more reliably manufacturable.ResultsCurvilinear masks have been shown to be more manufacturable.ConclusionsManufacturable mask shapes are more reliably manufactured. Curvilinear masks improve both mask and wafer variability. We suggest that allowing certain curvilinear targets can make designs more manufacturable and more resilient to manufacturing variation on wafers, while decreasing power, increasing clock speeds, and making designs smaller.
Curvilinear masks are coming. With multi-beam mask writers in production, leading edge mask shops now are able to write curvilinear masks in the same mask write times as any Manhattan masks [1, 2]. As Samsung and Luminescent showed long ago [3], curvilinear mask shapes produce the best wafer process windows. For 193i masks, curvilinear SRAFs have been demonstrated in reasonable time even with the traditional variable-shaped beam (VSB) writers with good wafer results. [4]
It is widely anticipated [5] by the luminaries of the industry that curvilinear ILT shapes either are already or will be used at least for hotspots in some leading-edge layers before 2023 for both 193i and EUV masks. ILT solutions have previously focused on Manhattanizing the output to make it suitable for VSB writing, instead of using curvilinear or at least piecewise linear polygons to be specified for the desired mask shapes. With multi-beam mask writing being widely available for the leading-edge nodes, manufacturing curvilinear ILT shapes is now possible. But what about the rest of the mask making infrastructure?
This paper introduces the session on curvilinear masks by surveying the constraints and considerations around introducing curvilinear masks to mask manufacturing. The other papers of the session will address the data volume and computational complexity issues with contour geometry in polygon-based processing of data. We take note of pixel-based manipulation of data being constant in run-time performance regardless of shape, whereas manipulation of contour geometry scales in run time based on vertex or edge count. This paper also reiterates that curvilinear MRC can be significantly less complex [6]. Other aspects of the mask infrastructure including metrology, inspection and repair will be discussed.
The paper concludes with a brief discussion about curvilinear wafer targets, or curvilinear designs [7]. It suggests that allowing certain curvilinear targets can make designs more manufacturable and more resilient to manufacturing variation on the wafer, while decreasing power consumption, increasing clock speeds, and making designs smaller.
Extreme ultraviolet lithography (EUVL) is entering an industry production phase for 7nm logic and is under development for next node logic and memory applications. A key benefit of EUVL for logic interconnect lithography comes from the ability to pattern the metal layer at aggressive pitch using a single exposure. We report here a mask process compatible with a 30nm pitch patterning module for the demanding sub 7nm node, single expose interconnect application. We found a large increase in mask to wafer image transfer sensitivity during the 32nm to 30nm pitch shrink development that led to increases in stochastic and systematic wafer defect generation mechanisms. In this work, we describe our steps to characterize, model and improve the mask related factors that reduce this sensitivity as part of a successful 30nm pitch patterning module demonstration. High resolution wide area electron beam mask inspection alongside a suite of advanced mask characterization and optimization(AMCO)tools were key elements in understanding mask process gaps and improvement opportunities. Critical mask parameters optimized in closed loop with wafer response included two and three dimensional pattern fidelity, line roughness and spatial variability. Mask critical dimension targeting was found to be a critical factor for delivering the yielding 30nm pitch wafer process and this targeting was tuned dynamically through mask and wafer co-optimization. Finally, the role of wafer anchored process simulation proved an invaluable guide for linking various mask error source mechanisms to the wafer response.
Design weak points that have narrow process window and limits wafer yield, or hotspots, continue to be a major issue in semiconductor photolithography. Resolution enhancement techniques (RET) such as advanced optical proximity correction (OPC) techniques and source mask optimization (SMO) are employed to mitigate these issues. During yield ramp for a given technology node, full-chip lithography simulation, pattern-matching and machine learning are adopted to detect and remedy the weak points from the original design [1], [2]. This is typically an iterative process by which these points are identified in short-loop lithography testing. Design retarget and/or OPC modifications are made to enhance process window until the yield goal is met. This is a high cost and time consuming process that results in a slow yield ramp for existing production nodes and increased time to market (TTM) for new node introduction. Local hotspot correction through mask and wafer harmonization is a method to enhance wafer yield with low cost and short cycle time compared to the iterative method. In this paper, a fast and low cost approach to hotspot correction is introduced. Hotspots were detected on wafer after OPC and characterized by using advanced mask characterization and optimization (AMCO) techniques. Lithographic simulations and AIMS measurement were used to verify the hotspot correction method. Finally, the validity of this new approach was evaluated by process window analysis and circuit probe yield test at wafer.
As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry
participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective
EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs)
and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation
in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV
in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their
own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships
(JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier
ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP
model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process
development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance
of receiving chip maker-specific designs. We utilize the TV to carry out mask process “stress testing” to define process
boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions
for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process
capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features
(SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks
for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for the broadest
community possible as the technology is implemented first within and then beyond the initial early adopters.
Historically, 1D metrics such as Mean to Target (MTT) and CD Uniformity (CDU) have been adequate for mask end users to evaluate and predict the mask impact on the wafer process. However, the wafer lithographer’s process margin is shrinking at advanced nodes to a point that classical mask CD metrics are no longer adequate to gauge the mask contribution to wafer process error. For example, wafer CDU error at advanced nodes is impacted by mask factors such as 3-dimensional (3D) effects and mask pattern fidelity on sub-resolution assist features (SRAFs) used in Optical Proximity Correction (OPC) models of ever-increasing complexity. To overcome the limitation of 1D metrics, there are numerous on-going industry efforts to better define wafer-predictive metrics through both standard mask metrology and aerial CD methods. Even with these improvements, the industry continues to struggle to define useful correlative metrics that link the mask to final device performance. In part 1 of this work, we utilized advanced mask pattern characterization techniques to extract potential hot spots on the mask and link them, theoretically, to issues with final wafer performance. In this paper, part 2, we complete the work by verifying these techniques at wafer level. The test vehicle (TV) that was used for hot spot detection on the mask in part 1 will be used to expose wafers. The results will be used to verify the mask-level predictions. Finally, wafer performance with predicted and verified mask/wafer condition will be shown as the result of advanced mask characterization. The goal is to maximize mask end user yield through mask-wafer technology harmonization. This harmonization will provide the necessary feedback to determine optimum design, mask specifications, and mask-making conditions for optimal wafer process margin.
As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask manufacturers. Techniques including advanced Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the new challenges are continued shrinking Sub-Resolution Assist Features (SRAFs), curvilinear SRAFs, and other complex mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible solutions to these coming challenges. In this paper, we study one such process, characterizing mask manufacturing capability of 10nm and below structures with particular focus on minimum resolution and pattern fidelity.
As device manufacturers progress through advanced technology nodes, limitations in standard 1-dimensional (1D) mask
Critical Dimension (CD) metrics are becoming apparent. Historically, 1D metrics such as Mean to Target (MTT) and
CD Uniformity (CDU) have been adequate for end users to evaluate and predict the mask impact on the wafer process.
However, the wafer lithographer’s process margin is shrinking at advanced nodes to a point that the classical mask CD
metrics are no longer adequate to gauge the mask contribution to wafer process error. For example, wafer CDU error at
advanced nodes is impacted by mask factors such as 3-dimensional (3D) effects and mask pattern fidelity on subresolution
assist features (SRAFs) used in Optical Proximity Correction (OPC) models of ever-increasing complexity.
These items are not quantifiable with the 1D metrology techniques of today. Likewise, the mask maker needs advanced
characterization methods in order to optimize the mask process to meet the wafer lithographer’s needs. These advanced
characterization metrics are what is needed to harmonize mask and wafer processes for enhanced wafer hot spot
analysis. In this paper, we study advanced mask pattern characterization techniques and their correlation with modeled
wafer performance.
As optical lithography is extended into 10nm and below nodes, advanced designs are becoming a key challenge for mask
manufacturers. Techniques including advanced optical proximity correction (OPC) and Inverse Lithography
Technology (ILT) result in structures that pose a range of issues across the mask manufacturing process. Among the
new challenges are continued shrinking sub-resolution assist features (SRAFs), curvilinear SRAFs, and other complex
mask geometries that are counter-intuitive relative to the desired wafer pattern. Considerable capability improvements
over current mask making methods are necessary to meet the new requirements particularly regarding minimum feature
resolution and pattern fidelity. Advanced processes using the IMS Multi-beam Mask Writer (MBMW) are feasible
solutions to these coming challenges. In this paper, Part 2 of our study, we further characterize an MBMW process for
10nm and below logic node mask manufacturing including advanced pattern analysis and write time demonstration.
Whether for VSB mask writing or for multibeam mask writing, the shapes we need to write on masks are
increasingly complex, increasingly curvilinear, and smaller in minimum width and space. The overwhelming trend
in mask data preparation (MDP) is the shift from deterministic, rule-based, geometric, context-independent, shape-modulated,
rectangular processing to statistical, simulation-based, context-dependent, dose- and shape-modulated
any-shape processing. The paper briefly surveys the history of MDP, and explains through a simulation-based study
that 50nm line and space is the tipping point where rule-based processing gives away to simulation-based
processing.
Spectrophotometry has been applied to the characterization of pattered mask line-width. Variations in the line-width by few nanometers can be distinguished by comparing spectrum profiles of reflectance or transmittance in spectrophotometry. It can be theoretically explained that the variations in the spectrum profiles are caused by CD bias of the patterned film. Experimental results also show that the positions of the spectrums along wavelength axis are related to the CD bias measured under CD-SEM. As a result, both spectra could be used to estimate quickly the line-width of patterned mask without in-depth analysis.
As the critical dimension (CD) becomes smaller, various resolution enhancement techniques (RET) are widely adopted. In developing sub-100nm devices, the complexity of optical proximity correction (OPC) is severely increased and applied OPC layers are expanded to non-critical layers. The transformation of designed pattern data by OPC operation causes complexity, which cause runtime overheads to following steps such as mask data preparation (MDP), and collapse of existing design hierarchy. Therefore, many mask shops exploit the distributed computing method in order to reduce the runtime of mask data preparation rather than exploit the design hierarchy. Distributed computing uses a cluster of computers that are connected to local network system. However, there are two things to limit the benefit of the distributing computing method in MDP. First, every sequential MDP job, which uses maximum number of available CPUs, is not efficient compared to parallel MDP job execution due to the input data characteristics. Second, the runtime enhancement over input cost is not sufficient enough since the scalability of fracturing tools is limited. In this paper, we will discuss optimum load balancing environment that is useful in increasing the uptime of distributed computing system by assigning appropriate number of CPUs for each input design data. We will also describe the distributed processing (DP) parameter optimization to obtain maximum throughput in MDP job processing.
Defect-free mask is a dream of mask makers. Repair technology [1] that removes defects on Att. PSM is getting more attentions than ever. Therefore the fast and precise verification of repaired results is highly required. Most confirmation methods are carried out by using the inspection system because it is faster than AIMS to verify the repaired results. However, the accuracy of the verification using the inspection system cannot be compared to it with AIMS in the view of printability. In this paper, the results of optical simulation using top-down repair image are compared with those of AIMS for rapid confirmation of repaired results with competitive accuracy. Also, neural network which can compute the complex non-linear relationships easily are used to increase the accuracy of repair simulation.
To achieve higher resolution and critical dimension (CD) accuracy in mask fabrication, 50KeV E-beam systems are used widely. However, as a high acceleration system is adapted, the degree of fogging effect caused by multi-scattering electrons becomes more serious. Although considerable efforts have been made, fogging effect cannot be removed perfectly, therefore several compensation techniques are applied instead. Fogging effect not only deteriorates CD uniformity but also makes mean to target (MTT) control difficult. Moreover, Fogging effect causes proximity effect correction (PEC) error according to PEC methods such as dose modulation type usually used in variable shaped beam (VSB) system and GHOST type commonly used in Gaussian beam system. In this paper, we investigated the fogging effect under the various exposure conditions at raster scan Gaussian beam system and VSB system experimentally and analytically.
An analytical approach to X-phenomenon in alternating phase-shifting masks is given in the framework of the thin-mask approximation. We present an analytical expression for the focus-dependent intensity imbalance between 0° and 180° phase regions when there exists relative phase error. It is shown that X-phenomenon results from the interference between 0th diffracted order, which originates from the phase error and has an in- or out-of-phase component with respect to the ±1st diffracted orders depending on the defocus directions, and the ±1st diffracted orders. Dependences of the intensity imbalance on the phase error and the duty ratio of the structure are given.
As the feature size of integrated circuits shrinks, the demands for the critical dimension (CD) uniformity on wafers are becoming tighter. In the era of low k1, moreover, mask CD uniformity should be controlled even more stringently due to the higher mask error enhancement factor (MEEF). Mask CD non-uniformity can originate from several sources which include photomask blanks and mask-making processes (exposure, post-exposure bake (PEB), development, and etch processes). Analyzing the CD error sources and eliminating the origins are very important tasks in optimization of mask-manufacturing processes. In this paper, we focus on the side error in mask CD uniformity and present a simple method for separating and evaluating the origins. Especially, quantitative analysis of the side errors induced by photomask blanks and mask-making processes, respectively, is given. Photomask blanks are found to be one of the main sources of the side error and it is shown that the temperature distribution of the PEB process during the ramp-up as well as the stable period should be maintained uniformly for chemically amplified resist (CAR) blanks in order to reduce the process-induced side error.
Recently, the interest in enhancement of critical dimension (CD) accuracy has been significantly increased to satisfy requirements of sub 100nm devices. Proximity effect correction becomes an indispensable choice to improve CD accuracy within local area, and fogging and loading effects compensation has been tried to enhance global CD uniformity. However, proximity effect correction (PEC) parameters obtained without considering additional exposure such as fogging effect and the exposure to compensate it are not appropriate to fabricate real devices. In this paper, we investigated the relation of PEC parameters and various pattern densities and additional exposure experientially, analyzed theoretically using the edge image model to describe absorbed energy. Through evaluations, we could optimize proximity effect correction parameters for EBM-3500 taking additional exposure into account, and realize higher CD accuracy in mask fabrication.
In mask-making process with e-beam lithography, the process stabilization can be evaluated by looking at the fluctuation of critical dimension (CD) uniformity, mean to target(MTT), and defect controllability. Among them, the capability of CD uniformity and mean to target depends strongly on the acceleration voltage of an exposure machine. Generally, a high acceleration voltage has advantages on dose latitude, pattern fidelity and CD linearity due to its small forward scattering range. Therefore, those merits using a high acceleration voltage can provide a higher yield for production photomask. In this paper, we have examined the CD uniformity and the MTT capability for production photomask fabrication in order to compare the process stabilization between 50 keV and 10 keV. By choosing a 50 keV exposure, significant improvements can be made in CD uniformity and MTT capability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.