Paper
24 March 2017 Study of aging behaviour on 193nm phase-shift masks
Félix Dufaye, Carlo Pogliani, Charles Crawford, Trent Hutchinson, Nicolas Thivolle, Laurent Lecarpentier, Frank Sundermann, Andrea Galbiati
Author Affiliations +
Abstract
Chrome migration or aging phenomenon is known for 193nm binary photomasks since a few years. 193nm irradiations and time generate an oxide growth on chrome sidewalls and then cause a non-uniform increase of critical dimensions (CD) [1], [2], [3, [4]. If not prevented or detected early enough, wafer fabs are likely to face process drifts, defectivity issues and even lower yield on wafers in the worst cases. Fortunately, some solutions have been put in place in the industry. A standard cleaning and repel service at the maskshop has been demonstrated as efficient to remove the grown materials and get the mask CD back on target. Some detection methods have been already described in literature, such as wafer CD intrafield monitoring (ACLV) [1], giving reliable results but also consuming additional SEM time with less precision than direct reticle measurement. Another approach is to monitor the CD uniformity directly on the photomask, concurrently with defect inspection for regular requalification to production for wafer fabs [5]. This enables ultimately to trigger the preventive cleanings rather than on predefined thresholds. However, may the 193nm Phase Shift Masks (PSM) be impacted too? In other words, should wafer fabs pay attention to this form of aging? Indeed, some publications [6], [7], [8] report a growth of SiO2, leading to the development of a high duration MoSi (modification of MoSi composition). This study will characterize the aging behaviour on a 193nm PSM contact hole layer, 40nm logic technology node. During this study, the aging phenomenon has been accelerated with the use of a test bench, to reach a CD increase up to 11nm after a cumulated exposure dose of 10kJ/cm2 (equivalent to exposures of >32,000 wafers 300mm). Two dice were compared, one kept as reference without any exposure, whereas the other die was aged on the accelerated test bench. Exhaustive characterization has been performed, with CD measurements on the mask and on wafers, evaluation of lithography process windows for usual patterns and most critical features (Optical Proximity Correction hotspots). It appears that despite a consistent CD increase on the mask, the impact on wafer can be neglected, at least at this amount of exposures. Aerial CD were also analysed through a Zeiss WLCDTM to enable a prediction of wafer impact. An advanced inspection tool (KLA-Tencor X5.2 model) has been challenged as an inline monitoring method to detect the aging degradation on PSM. The Intensity Critical Dimension Uniformity option (iCDUTM) was firstly developed to provide feed-forward CDU maps for scanners intrafield corrections, from arrayed dense structures on memory masks. Due to layout complexity and differing feature types, CDU monitoring on logic masks used to pose unique challenges. CDU monitoring on logic masks is now available, the latest Delta-Die and Delta-Time options gives all the needed information, as shown in this paper. In this study, iCDU has demonstrated its ability to catch a slight degradation of CD uniformity. In the end, this study shows evidences that standard cleanings used in maskshops cannot recover the mask back to its original CD. Finally, Transmission Electron Microscopy (TEM) was used to confirm the chemical nature of the grown material on sidewalls. TEM cuts provide a comparison between a production mask (aging over many years in production) and the test mask (accelerated aging on a test bench).
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Félix Dufaye, Carlo Pogliani, Charles Crawford, Trent Hutchinson, Nicolas Thivolle, Laurent Lecarpentier, Frank Sundermann, and Andrea Galbiati "Study of aging behaviour on 193nm phase-shift masks", Proc. SPIE 10147, Optical Microlithography XXX, 101471Z (24 March 2017); https://doi.org/10.1117/12.2257059
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Semiconducting wafers

Critical dimension metrology

Inspection

Scanning electron microscopy

Logic

Reticles

Back to Top