Paper
23 March 2020 How utilizing curvilinear design enables better manufacturing process window
Author Affiliations +
Abstract
For over ten years, lithographers have been attempting to use ILT to maximize the wafer process window. Only recently has the ability been available to manufacture the curvilinear ILT reticles. It has recently been shown that migrating the mask data to a purely curvilinear path (avoiding Manhattanization after ILT output) maximizes wafer process yield by minimizing mask variability. Therefore, the last two steps of the design+manufacturing flow can be done in a completely curvilinear way. It is now time to extend these ideas to design itself. It has been demonstrated earlier that these designs can reduce the number masks needed for a device. We will show the ability to achieve better device behavior by requesting more manufacturable shapes. As part of this we will suggest how to update the existing Manhattan design rule check (DRC) rules with curvilinear ones.
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ryan Pearman, Don O'Riordan, Jeff Ungar, Mariusz Niewczas, Leo Pang, and Aki Fujimura "How utilizing curvilinear design enables better manufacturing process window", Proc. SPIE 11328, Design-Process-Technology Co-optimization for Manufacturability XIV, 113280S (23 March 2020); https://doi.org/10.1117/12.2554859
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Manufacturing

Optical proximity correction

Semiconducting wafers

Design for manufacturability

Lithography

Computational lithography

Back to Top