Presentation + Paper
26 May 2022 AIMS EUV evolution towards high NA: challenge definition and solutions implementation
Renzo Capelli, Grizelda Kersteen, Sven Krannich, Markus Koch, Lukas Fischer, Matthias Roesch, Klaus Gwosch
Author Affiliations +
Abstract
The road towards the next technology leap in EUV lithography is set. ASML and ZEISS have designed and started manufacturing the next generation EUV exposure tool. With a wafer side NA of 0.55, the High NA scanner system will support the further pattern node scaling roadmap by improving image contrast and therewith reduce LCDU and defect print rate on product wafer. As for all major steps in the lithography evolution, the whole infrastructure will develop further in order to support this next quantum leap in EUV technology, with the EUV photomask technologies and metrology tools representing an essential piece of the mosaic. The AIMS® EUV system represents a unique piece of the EUV mask infrastructure for the qualification of the mask printing performance in the aerial image. The AIMS® aerial image is by design targeted to match the scanner aerial image, as the tool is engineered to emulate all imaging relevant scanner properties, e.g., mask side NA, through slit chief-ray characteristics, aberration level, illumination schemes. For the emulation of the High NA scanner, ZEISS developed and started manufacturing an upgrade for the current existing 0.33NA AIMS® EUV platform. The same AIMS® EUV system is therefore capable of imaging 0.33NA isomorphic as well as 0.55NA anamorphic masks, providing the best-in-class performance for both imaging technologies and optimal match to scanner imaging. In this paper the first imaging results of the AIMS® EUV High NA tool are shown together with quantitative analysis of 0.55NA anamorphic imaging properties. The challenges of providing two intrinsically diverse emulation types (0.33NA isomorphic and 0.55 anamorphic) in one single platform are described together with the solutions which were implemented and tested.
Conference Presentation
© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Renzo Capelli, Grizelda Kersteen, Sven Krannich, Markus Koch, Lukas Fischer, Matthias Roesch, and Klaus Gwosch "AIMS EUV evolution towards high NA: challenge definition and solutions implementation", Proc. SPIE 12051, Optical and EUV Nanolithography XXXV, 120510A (26 May 2022); https://doi.org/10.1117/12.2612261
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Scanners

Extreme ultraviolet lithography

Semiconducting wafers

Imaging systems

Metrology

RELATED CONTENT

Actinic review of EUV masks Status and recent results...
Proceedings of SPIE (March 16 2015)
ZEISS AIMS EUV high NA for actinic mask review for...
Proceedings of SPIE (January 01 1900)
High-NA EUV lithography: pushing the limits
Proceedings of SPIE (August 29 2019)
Actinic review of EUV masks
Proceedings of SPIE (March 20 2010)

Back to Top