Presentation + Paper
10 April 2024 Why the mask world is moving to curvilinear
Author Affiliations +
Abstract
The photomask industry is experiencing a fundamental shift from Manhattan masks to curvilinear masks. In the recent lithography and mask technology conferences, there were many papers and talks on curvilinear masks, curvilinear OPC, curvilinear ILT, curvilinear mask process correction (MPC), and curvilinear mask formats. Step by step, the photomask industry has started a transition from Manhattan to curvilinear, enabled by the adoption of the new multi-beam mask writers and the advent of practical full-chip curvilinear inverse lithography technology (ILT). The benefits of curvilinear masks go much deeper than is immediately obvious. In this paper we will share our insight on why the mask world is moving toward curvilinear mask shapes. We will demonstrate that curvilinear masks are more reliably manufacturable. We will evaluate the benefits of curvilinear in terms of process window, mask rules, mask error enhancement factor (MEEF), and mask variation.
Conference Presentation
(2024) Published by SPIE. Downloading of the abstract is permitted for personal use only.
Linyong (Leo) Pang and Aki Fujimura "Why the mask world is moving to curvilinear", Proc. SPIE 12954, DTCO and Computational Patterning III, 1295416 (10 April 2024); https://doi.org/10.1117/12.3014640
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Optical proximity correction

Scanners

Chip manufacturing

Lithography

Design

Photomasks

Scanning electron microscopy

Back to Top