Paper
4 May 2005 Hybrid BARC approaches for FEOL and BEOL intergration
Willie Perez, Stephen Turner, Nick Brakensiek, Lynne Mills, Larry Wilson, Paul Popa
Author Affiliations +
Abstract
Spin on bottom anti-reflective coatings were introduced to the semiconductor industry about 20 years ago to help control substrate reflectivity, improve critical dimension (CD) control, and, most importantly, improve depth of focus window, thus improving throughput and yields. Bottom anti-reflective coating (BARC) materials are either inorganic or organic in nature. Inorganic BARCs are chemical vapor deposition (CVD) films that work on the principal of destructive interference to eliminate reflectivity and demand tight thickness control in the BARC layer. In contrast, organic BARCs are generally spin-on polymeric materials that reduce substrate reflectivity by absorbing exposure radiation to provide greater latitude in thickness control. As an added benefit, organic spin-on BARCs also provide a level of planarization efficiency prior to photoresist deposition to improve depth of focus and process window in the photolithography step. As the feature sizes continue to shrink, etching becomes very challenging due to thin ArF photoresist (PR) layers which are much less etch resistant compared to KrF photoresists. The reduced thickness, as well as the reduced etch resistance, of the PR makes it nearly impossible to use the PR as both an imaging and a pattern transfer layer. This has lead to the development of a new class of spin-on “hybrid” BARC materials which not only have improved etch selectivity to the PR due to inorganic functionality but also have the absorbing properties, and hence offer greater process latitude. Hybrid BARC (H-BARC) materials enable the BARC layer to act as both an anti-reflective coating and as a pattern transfer layer in standard etch-back integration schemes. Due to the polymeric functionality associated with H-BARCs, these materials have exceptional gap-fill and planarization properties and can also be used in via-first dual damascene applications where similar etch characteristics between interlayer dielectric materials and the via-fill BARC enable better CD control. This paper will focus on the benefits of ENSEMBLE ARC materials, a new class of spin-on hybrid BARC materials, which can be used in either standard BARC applications or in via-first dual damascene applications which require that the BARC act both as an anti-reflective coating and as a via-fill material to assist in CD control during trench etch processes. This paper demonstrates lithography with 193-nm resists, resist compatibility, via-fill performance, optical properties, and etch rates with different plasma recipes.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Willie Perez, Stephen Turner, Nick Brakensiek, Lynne Mills, Larry Wilson, and Paul Popa "Hybrid BARC approaches for FEOL and BEOL intergration", Proc. SPIE 5753, Advances in Resist Technology and Processing XXII, (4 May 2005); https://doi.org/10.1117/12.598765
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Reflectivity

Photoresist materials

Semiconducting wafers

Chemical vapor deposition

Front end of line

Back end of line

Back to Top