Open Access
1 December 2022 Resist reflow methodology development to investigate interfacial interactions
Joren Severi, Cinzia Chan, Danilo De Simone, Stefan De Gendt
Author Affiliations +
Abstract

Background

To enable printing of smaller feature sizes, lithography has progressed into the extreme ultraviolet lithography (EUVL) regime. Alongside the wavelength scaling, a reduction in resist film thickness (FT) is observed to avoid large aspect ratios that would lead to pattern collapse. The further progression to high numerical aperture (NA) EUVL will require a further reduction in resist FT moving toward an ultra-thin film regime (<30-nm resist FT). This reduction in resist FT will make the interfacial interactions between resist and underlayer more dominant, potentially influencing material behavior and making resist design challenging.

Aim

We assess a reflow process as a means to investigate interfacial effects and in this way deconvolute the correlation between resist line volume, interfacial effects, and the reflow temperature (TR), defined as the temperature at which the resist line starts broadening, which is indicative of the glass transition temperature (Tg).

Approach

We pattern a model EUV chemically amplified resist at different nominal resist FTs and different critical dimensions (CDs) and half-pitch (HP) combinations to quantify changes in the TR.

Results

The TR increases with the inverse of the CD, as well as the inverse of the resist FT. Moreover, the TR also scales with the area ratio (the ratio of the area in contact with the ambient to the area in contact with the underlayer).

Conclusions

A linear relationship between TR and its volume factor (CD × FT) normalized for the area ratio (area in contact with the ambient to the area in contact with the underlayer) is found, revealing a combined dependency on line volume and interfacial interactions. This opens the potential for the use of the reflow methodology in investigating interfacial interactions.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Joren Severi, Cinzia Chan, Danilo De Simone, and Stefan De Gendt "Resist reflow methodology development to investigate interfacial interactions," Journal of Micro/Nanopatterning, Materials, and Metrology 21(4), 044602 (1 December 2022). https://doi.org/10.1117/1.JMM.21.4.044602
Received: 14 October 2022; Accepted: 11 November 2022; Published: 1 December 2022
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
KEYWORDS
Fourier transforms

Critical dimension metrology

Extreme ultraviolet lithography

Line width roughness

Photoresist processing

Semiconducting wafers

Polymers

RELATED CONTENT


Back to Top