Paper
25 March 2016 Spin-on metal oxide materials with high etch selectivity and wet strippability
Huirong Yao, Salem Mullen, Elizabeth Wolfer, Douglas McKenzie, Dalil Rahman, JoonYeon Cho, Munirathna Padmanaban, Claire Petermann, SungEun Hong, YoungJun Her
Author Affiliations +
Abstract
Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Huirong Yao, Salem Mullen, Elizabeth Wolfer, Douglas McKenzie, Dalil Rahman, JoonYeon Cho, Munirathna Padmanaban, Claire Petermann, SungEun Hong, and YoungJun Her "Spin-on metal oxide materials with high etch selectivity and wet strippability", Proc. SPIE 9779, Advances in Patterning Materials and Processes XXXIII, 97791O (25 March 2016); https://doi.org/10.1117/12.2220293
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Resistance

Oxygen

Silicon

Semiconducting wafers

Back to Top