Presentation
30 April 2023 AIMS® EUV 3.0: ZEISS’ next generation EUV mask review tool
Sven Krannich, Renzo Capelli, Matthias Stecher, Marc Schneider, Stefan Mueller
Author Affiliations +
Abstract
The AIMS® EUV system represents a unique piece of the EUV mask infrastructure for the qualification of the mask printing performance in the aerial image. To meet the industry requirements in the future, ZEISS started the development of a next generation EUV mask review tool, the AIMS® EUV 3.0. The system is based on the best-in-class optical concept proven for the current generation. The tool will support 0.33NA isomorphic and 0.55NA anamorphic imaging from the beginning. In this paper, we will introduce the concept of the AIMS® EUV 3.0 and present the current development status.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sven Krannich, Renzo Capelli, Matthias Stecher, Marc Schneider, and Stefan Mueller "AIMS® EUV 3.0: ZEISS’ next generation EUV mask review tool", Proc. SPIE PC12494, Optical and EUV Nanolithography XXXVI, PC1249407 (30 April 2023); https://doi.org/10.1117/12.2658396
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Extreme ultraviolet lithography

Imaging systems

Metrology

Scanners

Illumination engineering

Printing

RELATED CONTENT

The power of algorithmic employed in a metrology system ...
Proceedings of SPIE (December 01 2022)
ZEISS AIMS EUV high NA for actinic mask review for...
Proceedings of SPIE (January 01 1900)
EUV high NA scanner and mask optimization for sub 8nm...
Proceedings of SPIE (March 18 2016)
EUVL: the natural evolution of optical microlithography
Proceedings of SPIE (October 18 2019)
Actinic review of EUV masks
Proceedings of SPIE (March 20 2010)

Back to Top