PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This PDF file contains the front matter associated with SPIE Proceedings Volume 13177, including the Title Page, Copyright information, Table of Contents, and Conference Committee information.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Opening Session Day 1: Keynote and EUV Blank Technology
The first generation of EUV masks, largely based on Tantalum, were a key enabler for the success of EUV lithography. Continuous improvements in defectivity, zero phase defects are now realized and EUV reflectivity has allowed use of the 3nm node. Beyond this node, further advances in blank materials are required and similar to optical imaging, the use of resolution enhancement techniques are necessary; referred to as low-n blanks for EUV imaging. Key aspects include imaging performance, defects, durability and mask manufacturability, and involve refined absorbers and capping layers. In this paper, we overview our effort to design and accomplish the EUV blanks design that meets criteria from industry. We will also describe the challenges for next generation EUV blanks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Actinic blank inspection is an essential tool for EUV mask blank qualification. It can detect defects with lithographic impact by utilizing 13.5nm EUV light, which is the same wavelength used in EUV lithography. ABICS E120, developed by Lasertec, is an inspection and review system that aids in defect management and yield improvement in EUV mask blanks production. It is equipped with two optical configurations, low magnification mode to achieve high-throughput inspection and high magnification mode to realize high-resolution review for defect positioning. These functions meet the current requirements with its sensitivity for 1nm in height 40nm in width defect and coordinate accuracy of 20nm. ABICS E120 series are currently used for EUV mask blank qualification. With the introduction of high-NA EUV scanners and the ongoing progress of technology nodes, actinic blank inspection tools must be able to detect even smaller defects. For this reason, Lasertec is currently developing a next-generation ABICS for advanced nodes and planning to release at the end of 2024. Its target performance is a sensitivity for 1nm in height 30nm in width defect and coordinate accuracy of 10nm. The next-generation ABICS will enhance sensitivity by providing a higher Signal-to-Noise ratio (SNR). This performance will be achieved by increasing the magnification of its Schwarzschild objective to shrink a pixel size on the mask, thereby augmenting the relative scale of defect signals to background noise per pixel. To maintain the current model's throughput of 45 minutes per sample, a new CCD sensor with an expanded imaging area is going to be utilized. The next-generation model is also designed to have better coordinate accuracy by enabling the Schwarzschild objective to be adjusted inside the vacuum chamber to capture coordinates of defects using images with minimal aberrations. In addition, new model is expected to have a higher magnification than the current model for its high-magnification review to further enhance its coordinate accuracy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In recent years, LTPS-OLED panels have become more popular in the smartphone market, the adoption of LTPO-OLEDs has increased, and the market demand for higher resolution and lower power consumption of FPD panels is also increasing. In addition, OLED panels are beginning to be applied to IT products such as notebooks, and the investments to the larger 8th Generation has been planned by panel makers. We, SKE Group, have been developing Phase Shift Masks to achieve high resolution in collaboration with each lithography machine manufacturer for years in order to meet the further market demand as a specialist in the manufacturing of large photomasks in Japan. We'll introduce our latest activities this time. One is the development of DUV Phase Shift Mask specialized for exposure with a shorter exposure wavelength in order to solve both high resolution and stability of DOF (Depth of Focus). Also, that can withstand mass production. The other is a Phase Shift Mask with a higher transmittance and a phase reversal function. Conventional Phase Shift Masks generally exhibit a phase reversal function with a transmittance of around 5%, It has been found that a higher transmittance PSM (HR-PSM in SKE) contributes to the stability of DOF in order to achieve the target line width in fine pattern exposure near the exposure limit. We will also introduce the latest photomask development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Variations in environmental temperature conditions during the mask writing process are recognized for their potential to adversely impact the achievable placement precision. A laser writer sequentially exposes the mask area along a stripe-by-stripe writing trajectory. Any time-dependent position drift between the mask coordinate system and the write field centre is recorded along this trajectory. Depending on the inherent time scale of the drift process, the effect on the mask can manifest as a deformation of the stripe itself, a stripe displacement, or a global grid error. In this study, we present a model-based compensation method that predicts thermal position drifts based on temperature sensor readings. The approach has been implemented in a proof-of-concept study on an ULTRA mask writer system, leveraging two essential hardware features. Firstly, the common capability of laser mask writers to function as a placement metrology tool through the same lens as in exposure mode. Secondly, the standard system has been equipped with additional, suitably positioned precision temperature sensors. By combining these features, we create a time series database of synchronous placement error and temperature readings that allows us to train a predictive drift model. Once the model is trained, it can be operated in real-time compensation mode during placement metrology or exposure to counteract thermal drifts.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the initial stage of advanced packaging, it was applied to CSWLP (Chip-Scale-Wafer-Level-Package) mainly for the package form-factor reduction. However, advanced packaging is used not only for the package size reduction but also for many remarkable features including Fan-out wafer level packages that are used for mobile application processor to increase interconnect counts and reduce chip height. 2.5D silicon interposer technology is also used for Graphics Processing Units (GPU) and Artificial Intelligence (AI) chips to interconnect System-on-Chips (SoC) and cache memory to provide wide bandwidth. Advanced packaging will also play a key role in the upcoming heterogeneous integration. Canon developed the first i-line stepper for advanced packaging in 2011. Since then, we have expanded our tool lineup to support customer demands with developments supporting large size packages and panel-level packaging. In this paper, we compare advantages between wafer-level packaging and panel-level packaging. Furthermore, we will report our low distortion patterning solution of our latest packaging stepper, FPA-5520iV LF2 option.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Nano-imprint lithography (NIL) offers unique advantages, such as the ability to achieve patterning at 1x nm, threedimensional patterning, and low energy consumption. The throughput of NIL using a spin-coating resist has been able to exceed 120 wph. However, spin-coating NIL faces the issue of varying residual film under the resist pattern depending on the pattern density. To address this problem, we propose a hybrid NIL (HB-NIL) that combines spin-coating NIL with jet and flash imprint lithography. In this paper, we will present the results of evaluating the patterning performance of HB-NIL and discuss its feasibility based on the experimental findings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint Lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. To establish a new lithographic production solution requires the support of an system in order to enable seamless insertion of the technology. In this paper, review the current NIL tool performance regarding overlay, defectivity and throughput. And we also touch on other applications that can be addressed with NIL.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Computational technologies are still in the course of development for nanoimprint lithography (NIL). Only a few simulators are applicable to the nanoimprint process, and these simulators are desired by device manufacturers as part of their daily toolbox. The most challenging issue in NIL process simulation is the scale difference of each component of the system. The template pattern depth and the residual resist film thickness are generally of the order of a few tens of nanometers, while the process needs to work over the entire shot size, which is typically of the order of 10 mm square. This amounts to a scale difference of the order of 106. Therefore, in order to calculate the nanoimprint process with conventional fluid structure interaction (FSI) simulators, an enormous number of meshes is required, which results in computation times that are unacceptable. To support all lithographic systems, Canon has introduced “Lithography Plus”, a software solution capable of anomaly detection, automatic recovery, trouble flow prediction and remote support. The software is now under development specifically for NIL. Because NIL is a rheological process, to software must address a completely new work flow. In this paper, we introduce the methods used to create drop patterns and refinements to the NIL process simulator which can be applied to predict resist filling and, in the future, be used to make corrections to the drop pattern virtually, thereby eliminating time consuming on-tool verification. Finally, we discuss the development of virtual metrology software that incorporates artificial intelligence to provide fast feedback on key tool outputs such as overlay.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The eBeam Initiative completed its 12th annual opinion survey in July 2023 with anonymous feedback from industry luminaries representing 47 companies from across the semiconductor ecosystem – including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research. Started in 2012, the Luminaries Survey is used each year to gather predictions of industry trends. 80 percent of survey respondents in 2023 believe that broad adoption of high-NA EUV lithography in high-volume manufacturing (HVM) by more than one company will occur by 2028, the same percentage as reported in last year’s survey. In another trend that was repeated from last year’s survey, confidence that leading-edge mask shops can handle curvilinear mask demand doubled in the 2023 results compared to 2022, while 87 percent predicted that leading-edge mask shops can handle at least a limited number of curvilinear masks. New questions were added to the 12th annual Luminaries Survey to gauge perceptions on EUV and non-EUV leading-edge masks and patterning. 70 percent say that curvilinear inverse lithography technology (ILT) is useful for non-EUV leading-edge nodes, while 75 percent agree that it’s needed for 2-nm, 0.33 NA EUV. The percentage of EUV masks inspected by actinic inspection is predicted to double in three years, from a weighted average of 30 percent in 2023 to 63 percent in 2026. In addition, 95 percent agree that multi-beam mask writers are needed to write EUV masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Critical Dimension Uniformity (CDU) of photomasks written with 50 kV e-beam writers is very sensitive to scattering and mask process effects such as etch loading. The interaction distances of those effects vary by about 6 orders of magnitude and range from around 10 nm to around 10 mm. With the introduction of 50 kV e-beam writers, tool manufacturers also developed methods for compensating the most significant scattering effects, namely backscattering, often called PEC for Proximity Effect Correction and fogging (also called FEC for Fogging Effect Correction). E-beam writers, including the most advanced multi-beam writers, use local dose modulation of the exposure dose as described in [1]-[3] to compensate for those longer-range scattering effects. This method works reasonably well for older technology nodes but starts to be a limiting factor for most advanced EUV masks which have to meet very high CDU specifications. The reason is that the Critical Dimension (CD) response to dose is not constant across different mask shapes. For example, very small features like sub-resolution assist features (SRAFs) have a higher ΔCD/ΔDose response than larger features, since smaller features have a more gradual image edge slope. So far it has not been reported in the literature that Mask Process Correction (MPC) applications take the e-beam writer corrections into account when correcting for shorter-range non-linearity effects. Ref. [4] describes an attempt to combine forward and backscatter compensation as an off-tool MPC application where all corrections seem to be achieved via dose modulation. This paper describes a method for integrating the e-beam writer dose modulation into the MPC engine, so that the actual exposure dose at any given location on the mask is taken into account when applying shape based, short-range MPC. With this extension of MPC, a fully consistent short- and long-range correction is possible, even when the actual long-range corrections are applied on the e-beam writer and not directly during the offline MPC step.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the evolving landscape of the photomask industry, the transition from traditional Manhattan masks to curvilinear masks represents a significant shift. This evolution is driven by the adoption of multi-beam mask writing technologies, offering substantial improvements for both mask production and wafer processing. GPU acceleration of full-chip curvilinear inverse lithography technology (ILT), coupled with the transition to curvilinear optical process correction (OPC) from its edge-segmented counterpart, and the adoption of the MULTGON format, has led to a swift increase in the utilization of curvilinear masks across various wafer fabrication layers. The mask fabrication workflow, encompassing mask writing, mask CD SEM, mask inspection, mask aerial image review, and mask repair, is executed within the image or pixel domain, facilitating a natural fit for curvilinear masks. Nevertheless, challenges persist in mask metrology, particularly with the conventional mask critical dimension (CD) specifications, a mutual standard between mask manufacturing and wafer fabrication, which remains undefined for curvilinear mask patterns. This paper introduces an alternative CD specification tailored for curvilinear masks, and uses the proposed CD specification to demonstrate that curvilinear masks exhibit reduced mask variation compared to their Manhattan counterparts.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Grayscale PhotoLithography (GPL) enables the patterning of various 3D microstructures in a single lithography step with high throughput. For various 3D optical filtering devices to be functional, high vertical resolution and accuracy are key factors. This precision can, in part, be improved by an adapted mask design construction when using GPL as the 3D patterning method. Here we study different mask design approaches to achieve high resolution staircase like structures patterning using GPL. We found that by using different design flavors, we enlarge the range of available densities for grayscale applications. A relevant design choice also allows us to increase the theoretical vertical resolution enough so that the remaining limitations come not from the mask itself but from the process. The Mask Error Enhancement Factor (MEEF) is also shown to be improvable by tuning the dose sensibility of the design.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Applicability of scan projection lithography using a gradient-index (GRIN) lens array as a projection lens to printing of rough patterns in thick resist films with thicknesses more than 100 μm was researched by analyzing optics parameters and investigating actual patterning characteristics using a thick negative resist SU-8. From the analyses, it was found that the numerical aperture value of the GRIN lens array was considerably large as 0.28, and it was anticipated that the optics was not favorable for very thick resist processes. However, when upper limit of the resist thickness was investigated using 100-μm 1:1 line-and-space (L&S) patterns, very clear patterns with rectangular shape cross sections were obtained if the resist thickness was approximately 150 μm or less. Size miniaturization limits of 1:1 L&S patterns for 50- and 100- μm thick resists were investigated also. As a result, the limits were 25- and 40-μm, respectively, and aspect ratios of 2.3 were measured in both cases. It was clarified that the lithography using a GRIN lens array would be applicable to thick resist patterning within 150 μm. It was thought that the lithography was especially good for fabricating micro-fluidic devices and molds for electroplating, and others.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High NA EUV lithography has become a reality. The high NA EUV scanner (EXE:5000) produces exposure fields of 26x16.5 mm2 which is twice smaller than standard fields on other scanners. For certain use cases (e.g. when a die is larger than the High NA field) stitching between two exposure fields might be required. Stitching of vertical lines across two exposure fields has already been demonstrated in several publications. In this publication, we pay attention to photomask related aspects of stitching which are multifold. We draw attention to the need for mask resolution enhancement which will enable advanced OPC at stitching. We will show stitching behavior on both Tantalum and low-n masks and demonstrate low-n absorber reflectivity suppression by means of sub-resolution gratings which is required for stitching. We explore the behavior of the exposure field black border (BB) edge and formulate recommendations for specifications on BB edge control as well as pattern placement and pattern fidelity at the black border. Finally, we conclude that the mask performance is a key enabler of High NA stitching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We explore high Numerical Aperture (NA) Extreme Ultraviolet (EUV) mask specification for logic metal layer targeting A7 node. A requirement of minimum Critical Dimension (CD) of absorber on mask is investigated by Source Mask Optimization (SMO) and wafer printing simulation for pitch 20nm logic metal pattern in a horizontal direction. The Sub-Resolution Assist Feature (SRAF) horizontal absorber line width needs to be less than 4nm (1X) to avoid being printed on wafer. A minimum absorber line end tip-to-tip is also explored as a key metrics of high NA single patterning limit in Bright-Field (BF) mask with a benefit of low-n attenuated phase-shift mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Since high-NA EUV scanners have a half field size, two masks are necessary for exposing a large device which is larger than the half field of 26 mm x 16.5 mm. However, it takes long time until exposing the 2nd half field since the 2nd mask would be loaded after exposing the 1st half fields on many wafers. This may cause poor characteristics at the stitching area. Recently, we have proposed a new idea which is based on a two-mask stage with a new scan method. This can drastically reduce the time until exposing the 2nd half field. Moreover, according to our scanner throughput simulation, the two-mask stage has ~1.5 times higher throughput than the single-mask stage. Although, the throughput is still ~75 % of that of a 6” x 12” mask scanner, it can be improved to ~90 %.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Haze is a defect such as particle that is generated on the photomask during exposure, consequently reducing the lifetime of the photomask. Therefore, various investigation has been done to clarify the root causes of haze, and countermeasures have been taken according to the causes. However, in recent years, it has been discovered that there is a new mode of haze caused by the photomask material itself. The molybdenum, derived from MoSi phase shift films, was generated as particles such as molybdenum oxide and ammonium molybdate during ArF exposure. In this paper, the results of the verification evaluation of the hypothetical cause of molybdenum haze and countermeasures are reported. Based on the hypothesis that the cause of molybdenum haze may be molybdenum ion residue dissolved in the photomask cleaning solution, as a result of verification evaluation using an Accelerated irradiation Test Bed (ATB), a large amount of haze occurred on the quartz part of the photomask, so the hypothesis was likely to be correct. Therefore, a phase shift film with a protection layer was devised to prevent the MoSi film from being exposed to the cleaning solution. The photomasks made of this new phase shift film had more than four times the haze resistance of the conventional photomasks and had less CD change after irradiation. In addition, the processability and lithography performance of the new structure photomask were the same as that of the conventional photomask, and it was confirmed that they were a promising photomask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The formation of progressive defect on attenuated phase shift mask is the main trouble after mask fabrication, especially owing to the introduction of high photon energy exposure from 193nm (ArF) DUV light during the usage in wafer manufacturing fab. Normally, these progressive defects, so-called “haze”, are reported to be the combination of ammonium sulfate which may come from mask clean process, or the combination of AMC and organic outgassing from mask surrounding environment. It is well known that this type haze can be easily eliminated by wet chemical treatment such as wet clean process, so we call it, “conventional haze”. Nevertheless, in the past few years, an obscure progressive defect, which is composed of CrOx, has been observed on attenuated phase shift mask. Normally this defect arises by forming droplets or humps in the Qz area or footing at the basal junction between MoSi and Qz. This phenomenon is dramatically semblable to Cr migration that is firstly observed on COG mask and caused by the exposure of 193nm (ArF) DUV light and electric field. Based on above experience, it may be easier to understand that CrOx type defect appears in the dummy pattern on scriber lane which is constituted by stack of Cr/MoSi/Qz. But it is so confused when CrOx type defect is observed on the phase shifter layer which is only composed by MoSi. And beyond that, this type of defect is scarcely responsive to general wet clean process. In this contribution, we classify the CrOx defect type by on-mask location with different pattern structure. Corresponding possible formation mechanism and control methodology will be discussed and evaluated. Finally, we propose the damaged-free removal process based on defect component and distribution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The wafer industry is quickly moving to the high-end technology nodes to meet the demands of advanced semiconductor applications, mask makers focus on pattern fidelity control. However, it poses additional challenges to professional mask makers in terms of process window control of pattern diversity. In this article, a reproducible pattern damaged defect is recently observed by KLA mask inspection that is unrepairable due to the large defect size. This defect, so called directional damaged defect in this paper, is distributed among multiple die in array mask and shows pinhole and LCE (Local CD Error) at the pattern line end through SEM (Scan Electron Microscope). Moreover, this defect is highly directional and positional dependence. A step-by-step analysis of the process flow via SEM is conducted and it shows that LCE and damage is caused by low conductivity chemicals during clean process. This paper proposes that the root cause is the frictional accumulation of charges by chemical flowing large size pattern so as to result in directional damaged defect. A special any-angle radial shape layout is designed to verify process window of this type defect, as a result, all the process window of wet chemical solutions can be verified.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Research and development of a high-power EUV light source are very important in EUV lithography to overcome the stochastic effects for a higher throughput and finer patterning in future. We have designed and studied a high-power EUV free-electron laser (FEL) based on energy-recovery linac (ERL) for future lithography. The EUV-FEL light source has many advantages such as extremely high EUV power without tin debris, narrow spectral bandwidth, upgradability to a Beyond EUV (BEUV) FEL, polarization controllability for high-NA lithography, low power consumption and low construction and running costs per scanner, as compared to the laser-produced plasma (LPP) source used for the present EUV lithography exposure tool. Demonstration of proof of concept (PoC) of the EUV-FEL has made progress using the IR-FEL in the Compact ERL (cERL) at High Energy Accelerator Research Organization (KEK). We also show future plans of remaining R&D items such as a main-linac cavity system with lower power consumption, improvements of the electron gun system for stable operation of 10-mA beam current and a compact variably-polarizing undulator with a lower cost to realize the EUV-FEL light source. After these R&Ds, we can start to build a prototype EUV-FEL smoothly and quickly.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper discusses a simple, low-cost, highly efficient two-mirror projector with a simplified illumination system. The EUV source power can be reduced by 1/10 compared to the current six-mirror EUV projector system. The required EUV power is 20 watts for process speed of 100 wafers per hour. The proposed in-line projector achieves 0.2 NA (20 mm field) and 0.3 NA (10 mm field), which can be assembled in a cylindrical tube configuration similar to a DUV projector, providing superior mechanical stability and easier assembly/maintenance. The EUV light is introduced in front of the mask through two narrow cylindrical mirrors located on both side of the diffraction cone, providing average normal illumination and reducing the mask 3D effect. The simplified illumination system provides symmetric quadrupole off-axis illumination, bypassing central obscuration and improving spatial resolution, also realizing Köhler illumination. The theoretical resolution limit is 24 nm (20 mm field), image reduction factor x5 and Object Image Distance (OID) 2000 mm. With the curved surface mask, the tool height can be reduced to (OID) 1500 mm, which provides resolution 16 nm (10 mm field). It will be suitable for small die size chip production for mobile applications as well as the latest chiplet technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The EUV (Extreme Ultra Violet) photomask manufacturer is focused on the challenges of high quality and works to photomask industry efforts to reduce defect in mass product operations and in other sectors of YMS (Yield Management System). The Tech Insight official said that the EUV photomask product market looks lucrative business because the mass product has been recording an annual CAGR (Compound Annual Growth Rate) growth rate of 1.8 percent in recent years. Moreover, more than 50% of all wafer scanners are models with ArF scanners or higher model. Therefore, Necessary to contribute to production with efficient management and no loss time for expensive EUV equipment. In a EUV era, every single second management is required and a big data analysis system is needed to detect fine changes. In this paper, we create big data using FDC (Fault Detection & Classification) function and use it to study cases of electron beam writer, process, etch, clean, repair, metrology, inspection, and pellicle equipment’s maintenance and risk management. Photomask process excursion could be a result of one or more of degrading equipment part, or equipment issue of the photomask quality from any of the previous steps. Detecting such FDC data excursions and notifying appropriate fab or assembly/test personnel could result in preventing yield loss, improving cycle-time, OEE (Overall Efficiency Effectiveness) and equipment up-time. So far, we will share the research case that acquiring by accumulated knowledge and the facility maintenance method using FDC will accelerate the resolution of the most urgent problems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask stacks comprising of alternative absorber materials with various optical properties (n and k values) may allow further improvements in EUV imaging. In a strive towards dose reduction and advancement of resolution limits in EUV lithography, such masks are brought up for consideration. In this work, we evaluate a novel low-n absorber mask with a low EUV absorber reflectivity for dark field Line/Space (LS) printing and compare it to a traditional Ta-based absorber mask. For the novel low-n mask, we experimentally confirm the reflectivity vs. the Ta-based reference mask. Through simulations and experiments at 0.33 numerical aperture (NA), we evaluate the LS imaging performance in terms of best focus through pitch. At the anchor LS pitch 28nm, we report the exposure latitude and the Mask Error Enhancement Factor (MEEF) and compare these metrics to the imaging performance of a Ta-based mask. This work adds understanding to the patterning benefits and limitations of alternative absorber mask stacks in the case of Metal direct print applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the design rule continues to shrink towards the EUV lithography and beyond, the EUV mask inspection is one of the most important technologies for HVM lithography. Until now, most of the EUV mask inspection was performed by the DUV inspection tools. However, due to the nature of 193nm source, the DUV inspection has resolution limit and is unable to perform through-pellicle inspection. To overcome these limitations, the actinic tool was proposed to achieve high-resolution pattern imaging performance and inspection sensitivity. As a result, the EUV imaging resolution of tested pattern such as DRAM and Logic design was improved by 4.7 times compared to DUV resolution and it can achieve the high-resolution inspection for the extreme OPC type pattern and SRAF pattern. While studies on EUV inspection algorithm was mainly focused on masks for logic devices, we developed the EUV mask inspection technology for DRAM and LOGIC devices with Lasertec ACTIS 150 tool. By using the 13.5nm EUV wavelength the APMI can selectively detect printable defects and reduce the detection of nuisance and false defects. Overall, the defect classification of APMI inspection can be easily done hence the higher-resolution imaging performance. We also applied machine-learning based DB inspection algorithm to overcome resolution limit and accuracy of conventional DB modeling based DUV mask inspection. Finally, by using the 13.5nm actinic source, we acquired the technology to detect phase defect and perform through-pellicle inspection.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The successful development of Actinic Pattern Mask Inspection (APMI) has enabled the high-volume manufacturing of advanced chips, such as N5 and N3, due to the production of defect-free masks by tsmc's mask shop. This accomplishment can be attributed to the utilization of an innovative Extreme Ultraviolet (EUV) inspector and Graphics Processing Unit (GPU)-based defect detection with Artificial Intelligence (AI) assistance. The application of EUV inspector unleashed pellicle inspection to prolong mask operation periods in wafer foundries. Besides, the improving in the manufacturing efficiency via automation also boost the productivity in the mask shop. According to our previous report in BACUS 2023, the improvement by performing various approaches in the novel Laser-Produced Plasma (LPP) system enabled tsmc to capture EUV image with high stability. The continual improving in the system in later keep reducing the vibration of the crucible and hence improve the tin stability. Furthermore, tsmc developed a GPU-based inspection system, which allowed for flexible algorithm development compared to FPGA. The ML-based rendering model aligned features with tool images and reduced image residue. Therefore, the final inspected image could be possessed with high SNR in advanced node and aggressive OPC compared to DUV inspector. Additionally, the final inspection results will be processed via a Deep Learning (DL) based model, reducing false positives, and implementing auto-defect classification. By combining these contributions, the actinic tools were able to streamline the manufacturing flow and fulfill the requirements for massive production significantly.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In prior work, the capability of novel nanomachining processes to repair TaN EUV absorber materials was shown using 1.8 aspect ratio (AR) AFM tips in line and space patterns down to 90 nm half-pitch. While these repair results were well within the requirements for EUV printability, they only demonstrated the capability to repair an absorber material which has become obsolete with the rapid development of EUVL technology. The introduction of boron into the absorber chemistry indicates a significant increase in the hardness of this material which can be a significant factor in tip deflection in nanomachining. In this work, test repair results are shown for an advanced EUV absorber stack containing a TaBN formulation. The repair dimensional accuracy and repeatability are analyzed along with the throughput and tip wear rates for this nanomachining process. The capability of the BitClean process to clean and finish these repairs will also be shown for this absorber type.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With all the attention and excitement surrounding the development of EUVL into HVM, the ongoing needs of necessary legacy photomasks (193 nm wavelength and longer) have been less in the spotlight. Many layers in semiconductor lithography are still formed using masks at these technologies due to economic, and other, considerations. An aspect of legacy mask production and maintenance that has received even less attention of late has been the availability of toolsets optimized to provide defect repair and particle cleaning. Advanced laser repair and clean processes have been shown in the past several years to be an effective replacement for, not only aging less-advanced laser systems, but also obsolete focused ion beam (FIB) tools. More recently, additional processes have been developed which can even further extend the capabilities of advanced laser processing of these legacy masks. The improvements reviewed here include, but are not limited to, extremely fast removal of multi-micron hard opaque defects with mitigated ablation particle production, removal of softer defects in extremely large areas and sub-resolution patterns. The recent development of an advanced laser deposition repair to clear defects is also introduced for optical mask applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High numerical aperture extreme ultraviolet (High NA EUV) lithography and the support of computational lithography are enabling the race towards smaller nodes. An important tool empowering this trend is the multi-beam mask writer (MBMW). Its most prominent component is the so-called BLC (blanking-chip): a MEMS processed CMOS chip determining writing speed and precision. This paper describes the innovative MEMS process on the post processing of such a BLC. Key features of the blanking-chip are 590k apertures and electrode pairs within an area of <15x15mm2. The strict requirement on performance and cleanness of the chip on the comparably large area makes the MEMS process challenging. Intensive analysis and optimized MEMS process make the 590k-BLC with >99.95% of all apertures and electrodes being clean and defect free. Additionally, other crucial performances such as crosstalk and blanking angle have met the required specification. The 590k-BLC was qualified in the MBMW-301 ALPHA. Comparing it to the latest MBMW-201 generation, optical performances of image plane curvature and aberration blur of the beams are decreased by 31% and 41%, respectively. The curvy pattern shows 30% improvement of the fidelity thanks to 15nm of spatial resolution and 20% reduction of line edge roughness (LER). The throughput improves 50% on the same writing mode. These results indicate that MBMW-301 with the core engine 590k-BLC is ready to be introduced into development and mass production of advanced nodes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The multi-beam mask writer MBM-3000 designed to achieve N2 device technology node was released. The key concepts of the MBM-3000 are compatible with better resolution and faster throughput than our current multi-beam writer MBM- 2000PLUS. In order to reach these objective, the MBM-3000 is equipped with 12-nm beamlets, a single powerful electron source, a new optics design and enhanced data path systems. The smaller beamlets produce improved resolution and decreased productivity. However, the powerful cathode, which outputs a beam current density of 3.6A/cm2, prevent declined throughput. The new optics systems and enhanced data path support both the better resolution and the throughput.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As wafer manufacturing shrinks size and pitch of features, and EUV lithography introduces high NA, the control of photomask pattern placement error that contributes to wafer overlay becomes a critical requirement for leading-edge devices. For sub-3nm node devices, the pattern complexity has increased and the exposure dose has also risen due to the use of low-sensitivity resist. Accordingly, to improve the pattern fidelity and reduce the exposure time, masks are manufactured using Multi-Beam Mask Writer (MBMW). As a result of analyzing the mask pattern placement error budget for the main EUV resist of sub-3nm node device, e-beam resist charging was found to be the most significant factor. This is primarily due to the inability to use a charging dissipation layer (CDL), caused by defect issues and degradation of critical dimension (CD) linearity. In this paper, we conduct an in-depth analysis of mask pattern placement errors induced by the charging effect in the MBMW and present a charging control methodology to mitigate these pattern-density-dependent errors. We test the charging effect reduction, an integrated solution of hardware and software for charging control in the MBMW, and showcase its performance for two resists. When applied to mass productions, the charging effect correction (CEC) significantly reduces mask pattern placement errors in a single cell and improves mask overlay between two critical layers aligned in an overlay alignment scheme. Ultimately, this leads to a reduction of wafer in-field overlay error.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper introduces a method for improving photomask CDU (Critical Dimension Uniformity) using machine learning. The CDU of a photomask is one of the key factors affecting device quality. To improve the CDU of photomask, local dosage corrections are performed on the writing tool. However, it is difficult for human to predict the amount of correction for entire writing area in advance. In particular, non-critical layer masks for memory device, which are often used in peripheral circuits, significant degradation in CD distribution has been observed. In this study, we evaluated the performance of several machine learning models, called GBDT (Gradient Boost Decision Tree), in predicting the CD distribution of non-critical layer masks. The motivation behind this research is that the consistent production of highly accurate photomasks leads to reduce costs in photomasks and device development. Methods for increasing the accuracy of the model are also presented. Not only numerical data but also categorical data were used to generate the features used in the model. To avoid leakage problem of time series data, the data were divided into training, validation, and test data along the time sequence. Multiple models were used in ensemble to construct a highly accurate and stable model. In the product test, simulation results with CD correction using machine learning predictions showed a 20% improvement in CDU at the median compared to the conventional method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the photomask manufacturing industry, photomask source design data needs to be converted into several different target formats, such as MEBES fracture, writer file, die-to-database inspection data etc. Due to the various conversion needs in the manufacturing flow, different EDA tools from different software vendors are employed during conversion. Two different EDA tools that are given the same input can result in slight differences in the output pattern and this will lead to causation of CD errors relative to the underlying pattern tolerances and/or specifications. During the photomask production process, it is very challenging to identify and classify these small differences in the output pattern caused by the conversion of data. In this study, we developed a novel solution to alert on pattern discrepancy by utilizing the classification generated by the application of machine leaning techniques and Smart-MRC tools. A Convolutional Neural Network (CNN) model is being introduced in this study and is trained by learning pre-classified data and classification result would be generated after inputting data to the CNN model. This new Mask Data Preparation (MDP) technique is expected to reduce the use of human resources in the classification process and will bring significant enhancement to our data validation steps to ensure pattern integrity across the entire photomask manufacturing tool chain. Furthermore, the risk of anomalies introduced by updating EDA software tools and their respective version is also mitigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To groove inner surfaces of cylindrical pipes, mechanical machining was mainly used. However, it was difficult to groove complex and minute shapes precisely. Considering such circumstances, a new lithography system for printing patterns on inner surfaces of cylindrical pipes was thought up and investigated here. In the system, patterns on a flat reticle were projected onto an inner surface of cylindrical pipes using a cone mirror. In addition, observation optics for monitoring pattern images on the inner surface was added. By placing a beam splitter between the reticle and the projection lens, reflected images of the pipe inside were observed using a CCD camera and a monitor. After the position of cylindrical pipe was adjusted as the reflected images became most clear, patterns were printed. Transparent glass pipes with an outer diameter of 16.5 mm and an inner diameter of 14 mm were used as specimens, because it was possible to check the printed resist patterns from the outside. When negative resist PMER N-CA3000 PM was coated on the inner surface in 10-μm thick, and a reticle with concentric circular patterns was used, circumferential 50-μm line and space patterns were printed. Some subjects to be improved were clarified also.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Qualities of liquid crystal display panels are controlled using two-dimensional code marks in the manufacturing processes. The code marks are printed conventionally by projection lithography using a two dimensional reflection switching device and a laser diode or laser delineation lithography. However, both the specialized projection system, and the laser delineation system are expensive. For this reason, a new inexpensive optical lithography system using an optical fiber matrix and light-emitting diodes (LEDs) were investigated here. Circular 125-μm optical fibers were squared and arranged in a matrix, and a LED matrix with 8 rows and 8 columns was prepared as a light source. The central wavelength of the LED was 465 nm. Each optical fiber was connected to each LED one-to-one, and bright and dark arrangements at the fiber end were used as a binary mask. Patterns were printed on silicon wafers coated with a 1-μm thick positive resist using a handmade 1/10 reduction projection exposure system. Fairly good checker patterns with sizes of almost 12 μm square were printed using the alternately lit fiber ends. On the other hand, printed arbitrary patterns showed some irregularities. The irregular shapes and sizes of element patterns, and notches and steps at the stitched parts should be improved by adjusting the light intensities of LEDs more carefully and precisely in the next stage.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Position errors in reticles come from many sources; the writers play the most important influence on them. Several methods can be used for position error monitoring, such as registration / mask-to-mask overlay measurement for global position errors and writer deflector monitoring for local position errors. Getting smaller and smaller image placement and overlay of writers shown for advanced products, therefore, mask shops need advanced tools and methods to monitor conveniently and quickly. The Local Registration (LREG) function be created for this project, 1500 positions (in 15 x 15 μm2 area) can be measured in less than 15 minutes by the function. Not only the health of the beam deflector but also the stitching condition of the writer could be obtained by the function. Moreover, the function would be extended for global registration and mask-to-mask overlay monitoring and compared to conventional (standard measurement) methods.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Beyond Extreme Ultraviolet (BEUV) lithography is expected to be used for high volume manufacturing of 1 nm node process at 6.7 nm wavelength. In this report, we simulate the optical design of BEUV photomask coatings, including BEUV multilayer mirrors, capping layers, and absorbers to use the shorter wavelength from 13.5 nm as the current EUV lithography to 6.7 nm. The simulation illustrates the expected materials of each layer, in terms of reflectivity, effective reflection plane, and phase shift. We estimate the requirements of BEUV mask design with multilayer and absorber thickness dependence as binary and phase shift masks in order to minimize the mask 3D effect. The result suggests that the phase shift mask is suitable to achieve the superior optical resolution and requires the architecture with the shallower depth of the effective reflection plane compared to EUV. This work has demonstrated the optimal mask conditions as the first generation of BEUV photomasks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In 2019, EUV lithography technology with a wavelength of 13.5 nm was applied to the mass production of logic devices at the 7 nm+ node. As the demand for advanced semiconductor devices, beyond EUV(BEUV) lithography technology, which operates at exposure wavelengths around 6.7 nm, is expected to become the next generation lithography. The development of multilayers with high reflectivity and high stability is critical issue for the BEUV lithography. La/B-based multilayers have been reported with high reflectivity. However, the reflectance stability of La/B-based multilayers is an issue due to the inherent reactivity of La material. We proposed carbon/boron (C/B) multilayers in BEUV lithography. The C/B multilayer has high theoretical reflectivity and wide bandwidth, which is comparable to the La/B-based multilayer. The bandwidth of C/B multilayer depends on carbon film density. Consequently, the deposition of high-density carbon films is important to achieve high-performance C/B multilayers for BEUV lithography. Thus, we developed a new magnetron sputtering tool with unbalanced magnetron cathodes to investigate the deposition condition optimization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optical calculation and experimental data are presented for the impact of reflectivity of the Mo/Si multilayers for EUV photomasks deposited by secondary Ion Beam Deposition (IBD). Simulations of Mo/Si multilayer stacks in which the bottom-most 30 bilayers remain intermixed, and up to ten top bilayers are not intermixed at all or less intermixed is revealed to have the benefit of approximately 2.5% of reflectivity improvement while the simulation of 40-bilayer stack which has a typical intermixed layers of 1.1nm at the interface on top of the Silicon and 0.5nm at the interface on top of the Molybdenum indicate a maximum reflectivity of 68.5%. The multiple beam voltage deposited multilayers with lower beam voltage for top bilayers and with higher beam voltage for bottom bilayers are demonstrated and discussed using XRR measurement and TEM observation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We demonstrated a water-window (WW) soft x-ray (SXR) source using a regenerative liquid bismuth target irradiated by a solid-state laser. A tank filled with the solid Bi particles was heated by a band heater to make liquid Bi, and then it was pushed out from the nozzle by the nitrogen gas. A 1064-nm Nd:YAG laser with a pulse duration of 150 ps and a repetition rate of 10 Hz was irradiated to the liquid Bi target. We observed the time-integrated spectrum of SXR with a wavelength shorter than 6 nm using a spectroscopy and energy spectrum of the suprathermal ions emitted from the WWSXR source using a Faraday cup. The number of photons was observed to be about 1 ×1013
photons/nm·sr·pulse at a peak wavelength of 3.9 and 4.2 nm, and 0.4 ×1013 photons/nm·sr·pulse at a peak wavelength of 2.4 nm. The total number of photons emitted in 2.3 – 4.4 nm was about 1×1013 photons/sr·pulse. Suprathermal ions were also emitted with a maximum energy of 140 keV from the hot, dense Bi plasma. These results have the potential to use a short wavelength light source for next-generation lithography systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have demonstrated the spatial separation of extreme ultraviolet (EUV) emission and energetic tin (Sn) ions as debris from a laser-produced plasma (LPP) with a double-laser-pulse irradiation scheme based on a plasma X-ray laser configuration. We used two Nd:YAG lasers operating at a wavelength of 1064 nm for pre- and main pulses. The pre-pulse at a laser intensity of 2×108 W/cm2 was irradiated to the Sn solid planar target to produce a pre-plasma. After 20 ns from pre-pulse irradiation, the main pulse at a laser intensity of 2×1011 W/cm2 was irradiated in a tangential direction to the pre-plasma. We observed the angular distributions of EUV emission and energetic ions using an X-ray diode and a Faraday cup. The EUV was slightly emitted toward the main pulse. On the other hand, energetic ions were emitted toward the prepulse side. Under similar conditions, charge-separated energetic Sn ions were measured using an electrostatic energy analyzer (ESA), and the maximum kinetic energy and maximum valence were reduced.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.